您的位置 首页 > 资讯

课文《斑羚飞渡》中的故事是真的吗(课程设计:汽车尾灯显示控制电路设计)

[本站 课文《斑羚飞渡》中的故事是真的吗♂课文《斑羚飞渡》中的故事是真的吗课文《斑羚飞渡》中的故事是真的吗>全解《羚羊飞渡》故事是真的吗斑羚飞渡这个故事的梗概200字左右羚羊飞渡200字梗概不是真的。《羚羊飞渡》又名《斑羚飞渡》,也有取名《生命桥》的。首先,在现实动物世界中,羚羊…

课文《斑羚飞渡》中的故事是真的吗

课文《斑羚飞渡》中的故事是真的吗

  • 课文《斑羚飞渡》中的故事是真的吗
  • <<斑羚飞渡>>全解
  • 《羚羊飞渡》故事是真的吗
  • 斑羚飞渡这个故事的梗概200字左右
  • 羚羊飞渡200字梗概

不是真的。

《羚羊飞渡》又名《斑羚飞渡》,也有取名《生命桥》的。首先,在现实动物世界中,羚羊是不会聚聚成大群生活的,即便有最多不会超过十头。

更别提羚羊飞渡中所说的七八十头的群体,是不可能存在的。其次,现实动物世界中羚羊,根本没有什么集体观念,遇到了危险只会仓惶逃离,更别提什么危险来临时会互相照应了。

《羚羊飞渡》是一篇带有寓言性质的动物感人故事。

这篇文章意在告诉孩子,当个人利益和集体利益发生冲突时,该如何决择?意在引导学生增强合作和奉献意识,通过动物面对生与死的坦然从容的壮举,净化学生心灵,达到提升学生精神世界的教学目标。

这篇文学作品是作者在一定真实故事基础上拟人化的文学想像虚构,艺术源于生活而又高于生活就是如此!

本文把斑羚身陷绝境作为开端,从彩虹出现准备飞渡作为发展,以斑羚飞渡为高潮,以领头羊迈向深渊为结局,生动地再现了斑羚绝境求生的悲壮剧:绝境求生图、飞渡获生图、头羊自尽图,三幅图画犹如电影中的三个特写镜头传神地展现了斑羚在生与死的抉择上,把死留给自己的牺牲精神。所写的斑羚共有七八十只,动物没有姓名,叙述起来有一定难度,但作者通过分类取名,给个体取名,使叙述清楚明了。分类名如“老年斑羚”“年轻斑羚”“公斑羚”“母斑羚”,个体名如“镰刀头羊”“灰黑色斑羚”等,作品之所以动人心魄,除了故事本身引人入胜外,就是作者在行文中用了极富感染力的词语如“斑斓”“甜腻”“绚丽”“娴熟”“悲怆”“沉郁”等;还用了大量富有表现力的四字短语如“粉身碎骨”“稚气未脱”“心甘情愿”等。本文词汇丰富,描写细腻,折射出耀眼的光辉,更能打动读者的心灵。
虚实相生,神秘悲壮。
  作者三次描写到伤心崖上的彩虹。这色彩绚丽的彩虹,连着山涧的两头,似乎是对头羊的一种启示,也恰似斑羚飞渡时那壮美的弧线。作者对于彩虹的描写给全文蒙上了一层神秘的面纱,它有力地推动了情节的发展。当彩虹伴随飞渡同时出现时,飞渡的气势就有如彩虹一般壮美。当伤心崖上只剩下镰刀头羊时,作者并未直接描写它跳下山崖,而是让它“消失在一片灿烂中”,这时的领头羊的牺牲场面,犹如一幅特写,被定格在读者心中,意味深长的结尾就如彩虹般绚丽,让人产生无穷的遐想。作者让虚与实在彩虹之中交相辉映,使得斑羚的整体精神、牺牲精神更加熠熠生辉,产生一种惊心动魄的艺术效果。让读者在浓浓的人情味中,读后感叹不已,陷入深深的思索之中。斑羚飞渡》一文描写的是一群被逼至绝境的斑羚,为了赢得种群的生存机会,用牺牲一半挽救另一半的方法摆脱困境的壮举。斑羚在危难中所表现出来的智慧、勇气和自我牺牲精神,会让每一个读过这篇文章的人受到精神的震撼,会启发人们重新认识这个万物共生的世界。文章一开始,先为我们展现了一个关乎生死存亡的场景。一群斑羚,为狩猎队所追杀,逃到悬崖边。当“斑羚们发现自己陷入了进退维谷的绝境”时,显得那么惊慌、无助,它们“胡乱蹿跳”,一只老斑羚竟想奋力“跳过六米宽的山涧”,结果,“像颗流星似的笔直坠落下去”。在这个场景中,斑羚对生的渴望被表现得分外真切、动人,吸引每一个善良的人去关注它们的命运。接下来发生的事情完全出乎人的意料。斑羚群凭借娴熟的跳跃技巧,以牺牲老斑羚的生命为代价,成功地完成了山涧上的飞渡,赢得了种群的生存机会。斑羚在飞渡中所表现出的勇于牺牲、视死如归的精神,是作者描写的重点。文章用大量笔墨集中表现了一队老年斑羚的从容赴死。“随着镰刀头羊”的“吼叫”,“整个斑羚群迅速分成两拨,老年斑羚为一拨,年轻斑羚为一拨”。为了种群的生存,老年斑羚毅然决定牺牲自己。当老年斑羚的数量比年轻的那拨少时,镰刀头羊自觉地走到老年斑羚的行列中,“有几只中年公斑羚跟随着镰刀头羊,也自动从年轻斑羚那拨里走出来,进入老年斑羚的队伍”。没有要求、强迫,也没有讨价还价,好像牺牲是天经地义的事,是一种本能,而不是荣耀。“每一只年轻斑羚的成功飞渡,都意味着有一只老年斑羚摔得粉身碎骨。”老年斑羚用自己的死亡做“桥墩”,为年轻一代架起了生命的桥梁。作者用人之常情揣摩老斑羚的行为,特意描写了面对死亡的老年斑羚的从容表现:“从头至尾没有一只老斑羚调换位置。”这在人类社会是难以想像的事情。斑羚群以一半的牺牲,挽救了另一半的生命。牺牲的斑羚们那样地“从容”,接受“生命的援助”的斑羚也没有表现出特别的感激,只是“兴奋地咩叫一声,钻到磐石后面不见了”。没有什么要特别感激的,因为这些获救者有一天也会站到老年斑羚那一拨,去为另一群年轻斑羚做“桥墩”。感激,只有因为物质和精神上有交换才会产生,而斑羚们不懂那么复杂深奥的东西,对它们来说,为种群做出牺牲,只是依据自己的天性、本能行事,根本谈不上“奉献”“美德”之类的概念。很多群居的动物,都有自己的首领。这些首领往往具有健壮的体魄、聪明的头脑和威严的气势,它能够带领群体维持良好的生存状态和生存环境,它能够在任何时候都勇于挺身而出保护整个群体的利益。镰刀头羊就是这样的一位首领。当灰黑色母斑羚正为虚幻的彩虹吸引而绝望地走向危崖时,它只发出一声吼叫,就让母斑羚猛醒,并让斑羚群分成两拨,快速而有序地完成了一场悲壮的“飞渡”。镰刀头羊担负着保护种群的责任,在最危急的时候,整个种群把信任和尊重给了它;它沉稳、果断、临危不乱,这样的品格使斑羚们对它无比顺从。让种群的一半选择死,这是需要极大的勇气的,而它做到了。在斑羚的生活中,可能没有比持枪的猎人更让它们恐惧的了,所以镰刀头羊也曾“悲哀地咩了数声,表示自己也无能为力”。但是它却用自己的生命为持枪者上了最悲壮的一课。在“飞渡”的最后,作为奇数的镰刀头羊,显示出它高傲的本色。人类的猎枪可以消灭它的肉体,却不能屈服它的精神。这时的镰刀头羊甚至比人类的英雄更有英雄气概,“只见它迈着坚定的步伐,走向那道绚丽的彩虹”,“它走了上去,消失在一片灿烂中”,悲壮的身影,身后留给人们的是什么?轻蔑?嘲讽?——万物之灵的人类,将在“灿烂”中目瞪口呆。
1. 用一句话概括全文内容
2.作者写的“斑羚“精神都有那些?
读8.9自然段:
1.请用一句话概括主要内容
2.本段对一老一少两只斑羚结对跳山涧的动作描写很多,品位其动词的作用.
3.文中对“我“侧重与心理描写,说明插入心理活动的用意.
4.文中三处斑羚的叫声,分析它们各有什么含义
读11段:
1.谈谈对“山涧上空....架设的桥“的理解
读16.17段:
1.结尾“镰刀头羊““走向那道绚丽的彩虹“,对此如何理解?并概括头羊的形象.
2. 一、整体把握
《斑羚飞渡》一文描写的是一群被逼至绝境的斑羚,为了赢得种群的生存机会,用牺牲一半挽救另一半的方法摆脱困境的壮举。斑羚在危难中所表现出来的智慧、勇气和自我牺牲精神,会让每一个读过这篇文章的人受到精神的震撼,会启发人们重新认识这个万物共生的世界。
文章一开始,先为我们展现了一个关乎生死存亡的场景。一群斑羚,为狩猎队所追杀,逃到悬崖边。当“斑羚们发现自己陷入了进退维谷的绝境“时,显得那么惊慌、无助,它们“胡乱蹿跳“,一只老斑羚竟想奋力“跳过六米宽的山涧“,结果,“像颗流星似的笔直坠落下去“。在这个场景中,斑羚对生的渴望被表现得分外真切、动人,吸引每一个善良的人去关注它们的命运。
接下来发生的事情完全出乎人的意料。斑羚群凭借娴熟的跳跃技巧,以牺牲老斑羚的生命为代价,成功地完成了山涧上的飞渡,赢得了种群的生存机会。斑羚在飞渡中所表现出的勇于牺牲、视死如归的精神,是作者描写的重点。文章用大量笔墨集中表现了一队老年斑羚的从容赴死。“随着镰刀头羊“的“吼叫“,“整个斑羚群迅速分成两拨,老年斑羚为一拨,年轻斑羚为一拨“。为了种群的生存,老年斑羚毅然决定牺牲自己。当老年斑羚的数量比年轻的那拨少时,镰刀头羊自觉地走到老年斑羚的行列中,“有几只中年公斑羚跟随着镰刀头羊,也自动从年轻斑羚那拨里走出来,进入老年斑羚的队伍“。没有要求、强迫,也没有讨价还价,好像牺牲是天经地义的事,是一种本能,而不是荣耀。“每一只年轻斑羚的成功飞渡,都意味着有一只老年斑羚摔得粉身碎骨。“老年斑羚用自己的死亡做“桥墩“,为年轻一代架起了生命的桥梁。作者用人之常情揣摩老斑羚的行为,特意描写了面对死亡的老年斑羚的从容表现:“从头至尾没有一只老斑羚调换位置。“这在人类社会是难以想像的事情。
斑羚群以一半的牺牲,挽救了另一半的生命。牺牲的斑羚们那样地“从容“,接受“生命的援助“的斑羚也没有表现出特别的感激,只是“兴奋地咩叫一声,钻到磐石后面不见了“。没有什么要特别感激的,因为这些获救者有一天也会站到老年斑羚那一拨,去为另一群年轻斑羚做“桥墩“。感激,只有因为物质和精神上有交换才会产生,而斑羚们不懂那么复杂深奥的东西,对它们来说,为种群做出牺牲,只是依据自己的天性、本能行事,根本谈不上“奉献““美德“之类的概念。
很多群居的动物,都有自己的首领。这些首领往往具有健壮的体魄、聪明的头脑和威严的气势,它能够带领群体维持良好的生存状态和生存环境,它能够在任何时候都勇于挺身而出保护整个群体的利益。镰刀头羊就是这样的一位首领。当灰黑色母斑羚正为虚幻的彩虹吸引而绝望地走向危崖时,它只发出一声吼叫,就让母斑羚猛醒,并让斑羚群分成两拨,快速而有序地完成了一场悲壮的“飞渡“。镰刀头羊担负着保护种群的责任,在最危急的时候,整个种群把信任和尊重给了它;它沉稳、果断、临危不乱,这样的品格使斑羚们对它无比顺从。让种群的一半选择死,这是需要极大的勇气的,而它做到了。
在斑羚的生活中,可能没有比持枪的猎人更让它们恐惧的了,所以镰刀头羊也曾“悲哀地咩了数声,表示自己也无能为力“。但是它却用自己的生命为持枪者上了最悲壮的一课。在“飞渡“的最后,作为奇数的镰刀头羊,显示出它高傲的本色。人类的猎枪可以消灭它的肉体,却不能屈服它的精神。这时的镰刀头羊甚至比人类的英雄更有英雄气概,“只见它迈着坚定的步伐,走向那道绚丽的彩虹“,“它走了上去,消失在一片灿烂中“,悲壮的身影,身后留给人们的是什么?轻蔑?嘲讽?--万物之灵的人类,将在“灿烂“中目瞪口呆。
二、问题研究
1.在这篇文章中,人充当的是怎样的角色?
在这篇文章中,人类充当的是一个不光彩的角色,是自然的侵害者和掠夺者。我们不必回避这个问题。因为这篇文章恰恰给了我们一个反思人类所作所为、摆正人类在自然界中的位置的机会。
人类自诩为高等动物--自己给自己定义为“人科“,在这个世界中是独一无二的,是世界的主宰。人类拥有强劲的思维能力,拥有先进的科技手段,可以改天换地,所以我们常提起的一句话是:“征服自然,改造自然。“对于和自己一起生活在这个星球上的其他物种,人类是蔑视的。人类肆意屠杀它们,已经导致许多物种灭绝。
斑羚飞渡的惨烈、悲壮,让我们看到了动物身上那股神圣而不可侵犯的精神力量。反思人类社会,当灾难来临时,我们又有多少人能做到像斑羚那样,不害人,不苟活,视死如归呢?
2.从彩虹架起的虚幻的桥,到镰刀头羊“消失在一片灿烂中“,“彩虹“在课文中出现过多次。“彩虹“有怎样的意义?
彩虹是虚幻、美丽的。虚幻而美丽的东西,可以给人带来幻想、希望,让人陶醉其中,产生精神上的迷恋、依赖。美丽的彩虹和残酷的现实成为鲜明的对比,镰刀头羊“走向那道绚丽的彩虹“,也是向往投身于美好的理想世界吧。
3.课文描写“斑羚飞渡“的成功,近乎奇迹,是以斑羚们时间先后选择的精确、跳跃幅度控制的高超、跳跃技巧掌握的娴熟、对接时机衔接的吻合为前提的,从文章的交代来看,斑羚们此前并没有经过这样的排练,那么,课文描写的“斑羚飞渡“是真实发生的吗?还是主要出自作者的想像?
首先,在动物界,确实有牺牲部分成员的生命以取得种群中大多数的生存机会的事实;其次,依我们自身的经验可以知道,人类在困难时往往会激发出以前从未展现的潜力,做出平时无论如何也不能完成的事情,因此,我们不能否认,当斑羚群陷入种群灭绝的危机时,强烈的求生欲望会导致奇迹的发生。但是我们并不能就此推论本文中叙述的事情就一定是百分之百真实的。不过,真实与否又有什么要紧呢?任何出现在作品中的素材,都是作家进行艺术剪裁和合理加工的结果,艺术真实不等同于生活真实,我们没有必要追究事情是否符合生活的真实。我们可以把它当作一篇动物小说来读,抛开表层的坠饰(艺术虚构),体会文章震撼人心的力量来源,阅读的乐趣将充盈读者的心间。
练习说明
一、熟读课文,完成下面两题。
1.文章中详细描述了第一对斑羚试跳成功的全过程,试用自己的话加以复述。
设计本题的目的是在全面理解课文内容的基础上,培养学生准确清楚地描述事件全过程的能力。
复述时要引导学生记住主要内容,把握重点,抓住主要动词来复述:半大斑羚朝前(飞奔),同时,老年斑羚也快速(起跑),到悬崖边缘,半大斑羚纵身一(跃),朝山涧对面(跳)去,老年斑羚(紧跟)在后面,头一(勾),也从悬崖上(蹿跃出去),一老一少,一前一后,一高一低。半大斑羚在老斑羚背上(猛蹬)一下,在空中再度(起跳),下坠的身体也再度(升高),轻巧地(落)在对面山峰上,而老斑羚则笔直(坠落)山崖。
2.镰刀头羊是这场飞渡的组织者,文中重点写了它的哪些表现?谈谈你对镰刀头羊的印象。
设计本题的目的是引导学生把握主要情节,从细节描写入手分析斑羚形象特点。
文中重点写了镰刀头羊的三次叫声:第一次,当发现斑羚们陷入绝境时,镰刀头羊悲哀地咩了数声,这是无能为力的表示;第二次,在一头母斑羚恍惚走进彩虹的斑斓光带时,镰刀头羊发出“咩“的吼叫,招回母斑羚,同时告诉斑羚群,它已想出自救办法,并指挥迅速实施;第三次,在老年斑羚与年轻斑羚两队数量悬殊时,镰刀头羊悲怆地轻咩一声,这表示为了让更年轻的生命获救,只能牺牲正当盛年的包括自己在内的同类了。这叫声既是一声忧伤的叹息,也是召唤补充注定死亡队伍的命令。
镰刀头羊的形象:富于智慧,有决断力,遇事镇定,临难从容。
二、联系上下文,品味下列句子的含义,回答括号中的问题。
1.山涧上空,和那道彩虹平行,又架起了一座桥,那是一座用死亡做桥墩架设起来的桥。
(为什么说那座桥是“用死亡做桥墩“?)
2.我十分注意盯着那群注定要送死的老斑羚,心想,或许有个别滑头的老斑羚会从注定死亡的那拨偷偷溜到新生的那拨去,但让我震惊的是,从头至尾没有一只老斑羚调换位置。
(“从头至尾没有一只老斑羚调换位置“一事为什么让“我“感到震惊?)
3.它(镰刀头羊)走了上去,消失在一片灿烂中。
(在这句话里,“灿烂“只是指那一道弯弯的彩虹吗?)
设计本题的目的是引导学生深入体会文中含义深刻的语句,从而进一步理解文章的主旨。
参考答案:
1.因为每一只获得新生的斑羚,都是以另一只的斑羚身体为跳板完成飞渡的。是这些必死的斑羚组成了新生的桥,所以说是“用死亡做桥墩“。
2.“我“是个猎人,参照人类在此种情景下常有临阵脱逃的表现,所以震惊。
3.不只是指那道彩虹,更是象征镰刀头羊行为和精神的闪光。
三、动物是人类的朋友,试给狩猎队写一封信,谈谈你对这件事的看法。
设计本题的目的是,引导学生从“动物是人类的朋友“的立意出发,结合自己阅读文章的感受,联系生活,思考人与动物的关系。学习写一般书信。
教学建议
一、本文描写“斑羚飞渡“的场面,非常有条理,不仅描写完整,飞渡前,飞渡后都有交代,而且详略得当,着重描写第一对斑羚飞渡的经过,略写其余斑羚飞渡的情况,重点突出。可要求学生复述课文,从而体会本文叙述条理性强的特点。
二、本文把动物的行为提到了一个相当的高度来认识,这是非常新颖和动人的。教学时应引导学生重点理解作品的主旨,作为人类的一员,既不能妄自菲薄,也不能妄自尊大。
三、学习课文,可以组织学生了解我国现存珍稀野生动物的种类及有关情况,联系生活,培养学生“珍视生命,关爱动物“的观念。
有关资料
一、作者简介
沈石溪,原名沈一鸣,祖籍浙江慈溪,1952年生于上海。1969年初中毕业赴云南西双版纳傣族村寨插队落户,有着丰厚的生活体验。1975年当兵,历任新闻干事和宣传股长。1980年开始从事儿童文学创作,所著动物小说将故事性、趣味性和知识性融为一体,充满哲理内涵,风格独特。作品多次获奖。现为成都军区政治部创作室二级创作员、中国作协会员、云南省作协理事、云南省儿童文学创作委员会副主任。

不是。

文中的斑羚学名灰斑羚。了解斑羚的日常习性就会明白,现实中灰斑羚包括其他几种斑羚都不可能存在《斑羚飞渡》中所描述的七八十头的群体,更没有头羊这一说。

而且对于这些日常根本没有组织没有纪律的动物,他们在穷途末路时不能相互关照。另外,进化的主导力量不是群体选择而是个体选择。“飞渡”虽然有利于群体的永久延续,但并不可能进化出来,它违反现代进化生物学的基本原理。

扩展资料:

斑羚飞渡是斜上抛运动,不计空气阻力,可分解为竖直方向的竖直上抛运动和水平方向的匀速直线运动。初速度一定,起跳角度越大,则竖直上抛的初速度越大,水平运动速度越小。

半大斑羚起跳速度较小,起跳角度又大,老斑羚起跳速度较大,起跳角度又小,所以老斑羚斑羚水平运动的速度大于半大斑羚水平运动的速度。虽然老斑羚比半大斑羚起跳的稍晚,完全有可能在水平方向追上半大斑羚,并在其落下时将其接住。

斑羚飞渡并非空穴来风,是有充分的物理依据的。但美中不足的是,老斑羚不是在自己跳起的最高点接住的半大斑羚,要真正完成这样的壮举,需要两只斑羚配合的完美无缺,天衣无缝,少有差池,即前功尽弃,难以生还。

《斑羚飞渡》一文描写的是一群被逼至绝境的斑羚,为了赢得生存的机会,用牺牲的一半来挽救另一半的困境。危机中羚羊的智慧、勇气和自我牺牲,将震惊每一个读过这篇文章的人,并激励人们重新理解万物共存的世界。

赞扬了镰刀头羊在面对危险和牺牲自己时的勇敢。批评狩猎队对珍稀动物的贪婪。《斑羚飞渡》沈石溪创作的小说,选自其小说集《和乌鸦做邻居》。

在文章的开头,展示了一个关于生与死的场景。一群被狩猎队猎杀的斑羚逃到悬崖边上。当斑羚发现自己进退两难时,显得如此恐慌和无助。它们“乱蹦乱跳”。一只老羚羊试图“跳过一条六米宽的山溪”,结果,“像颗流星似的笔直坠落下去”。


扩展资料:

作品赏析:

作者用人之常情揣摩老斑羚的行为老羚羊面对死亡的平静表情是刻意描绘的:“从头至尾没有一只老斑羚调换位置。”这在人类社会是很难想象的。羚羊群用以一半的牺牲拯救了另一半的生命。

被牺牲的斑羚们是如此的“从容”,接受“生命的援助”的斑羚也没有表现出特别的感激,而是“兴奋地咩叫一声,钻到磐石后面不见了”。没有什么特别值得感激的,因为这些获救的人总有一天会站在老羚羊群里,为另一群小羚羊制造“桥墩”。

“我十分注意盯着那群注定要送死的老斑羚,但让我震惊的是,从头至尾没有一只老斑羚调换位置”可以用“以小人之心度君子之腹”来概括。

一群斑羚,为狩猎队所追杀,逃到悬崖边.当“斑羚们发现自己陷入了进退维谷的绝境”时,显得多么惊慌、无助,它们“胡乱蹿跳”,一只老斑羚竟想奋力“跳过六米宽的山涧”,结果“像颗流星似的笔直坠落下去”.接下来发生的事情完全出乎我们的意料.斑羚群凭借娴熟的跳跃技巧,以牺牲老斑羚的生命为代价,成功地完成了山涧上的飞渡,赢得了种群的生存机会.老斑羚心甘情愿地用生命为下一代搭起一条生存的道路.最后伤心崖上,只剩下那只临危不乱的领导者和一道见证过死亡的彩虹.
在情况危急的时候,动物可以不惜生命的成为别人的逃生工具,这种精神是人类所没有的.斑羚的举动让我明白了付出,人只有懂得付出,懂得爱,才算一个完整的人.


相关tag:羚羊飞渡课文
本站部分资源来源于网络,如果侵犯了您的权益,请联系我们删除1354090129@qq.com

课程设计:汽车尾灯显示控制电路设计

课程设计:汽车尾灯显示控制电路设计

  • 课程设计:汽车尾灯显示控制电路设计
  • 汽车尾灯控制电路的设计
  • 汽车灯光电路的工作原理(内部原理图、电路控制原理图)
  • 汽车尾灯控制电路设计图
  • 汽车的尾灯是如何实现控制的
  • 汽车尾灯控制时序逻辑电路设计毕业论文
  • 基于FPGA的汽车尾灯控制器的设计
  • 设计一个汽车尾灯控制电路(左转.右转.直行)具有功能丰富且有实际应用价值的数字逻辑电路谢
  • 急求:汽车尾灯控制器电路图


、设计任务:根据已知条件,设计、制作一个汽车尾灯显示的PLC电路。 二、设计要求:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),。要求是:
① 汽车正常行驶时,尾灯全部熄灭。
② 当汽车右转弯时,右侧3个指示灯按右循顺序点亮。
③ 当汽车左转弯时,左侧3个指示灯按左循顺序点亮。
④ 临时刹车时,所有指示灯同时闪烁。
⑤ 选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。 三、设计期限 2007年 12 月 27 日至 2008 年 1 月 6 日 目 录1设计意义2梯形图3指令表4原理5 设计感想6参考文献 一 设计意义 汽车尾灯是汽车的重要部件之一,它在交通安全中扮演着重要的角色。本次设计的是简易汽车尾灯,实现较简单的逻辑功能。重点是通过本次设计过程,了解和掌握逻辑电路的设计、分析。 元件定义X2代表汽车左转按钮,X3代表汽车右转按钮,X4表示刹车,Y1,Y2,Y3是左转显示灯,Y4,Y5,Y6是右转显示灯当汽车左转时,左转显示灯顺序点亮,如果左转又刹车则左转显示灯闪烁;当汽车右转时,右转显示灯顺序点亮,如果右转又刹车则右转显示灯闪烁;单独刹车则所有显示灯同时闪烁。 二 梯形图 三 指令表 四 原理 当汽车左转(按下X2)Y1,Y2,Y3 车尾灯顺序点亮;当汽车右转(按下X3)Y4,Y5,Y6车尾灯顺序点亮;有紧急情况汽车临时刹车(按下X4)Y1-Y6车尾灯全部闪烁;汽车正常行驶(按下X1)所有车尾灯全部熄灭 五 设计感想本次课程设计是我目前收获最大的一次课程设计。我是机电一体化专业的学生,,这次课程设计恰恰给我们提供了一个应用自己所学知识的机会,从到图书馆查找资料到对电路的设计对电路的仿真再到最后电路的成型,都对我所学的知识进行了检验。可以说,本次课程设计有苦也有甜。 设计思路是最重要的,只要你的设计思路是成功的,那你的设计已经成功了一半。因此我们应该在设计前做好充分的准备,像查找详细的资料,为我们设计的成功打下坚实的基础。 不能有丝毫的急躁,马虎,对电路的调试要一步一步来,不能急躁,因为是在电脑上调试,比较慢,又要求我们有一个比较正确的调试方法。这又要我们要灵活处理,在不影响试验的前提下可以加快进度。要熟练地掌握课本上的知识,这样才能对试验中出现的问题进行分析解决。 留给我印象最深的是要设计一个成功的电路,必须要有耐心,要有坚韧的毅力。在多种方案的选择中,我们仔细比较分析其原理以及可行的原因,最后还是在老师的耐心指导下,使整个电路可稳定工作。设计过程中,我深刻的体会到在设计过程中,需要反复实践,其过程很可能相当烦琐,有时花很长时间设计出来的电路还是需要重做,那时心中未免有点灰心,有时还特别想放弃,此时更加需要静下心,查找原因。 总体来说,这次实习我受益匪浅。在摸索该如何设计电路使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力。在让我体会到了设计电路的艰辛的同时,更让我体会到成功的喜悦和快乐。 在这里,我也非常感谢同学和老师的耐心辅导以及同学们的热心帮助。我忠心的感谢各位老师,你们辛苦了! 参考文献 《数字电路》《数字电子技术第四版》《数字电子学基础》《图表细说电子元器件》《图解电子技术要诀》


首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。
总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。
由表1得总体框图如图所示
图1汽车尾灯控制电路原理框图
表1汽车尾灯控制逻辑功能表
开关控制
S1 S0
三进制计数器
Q1 Q0
六个指示灯
D6 D5 D4 D1 D2 D3
0 0
0 0 0 0 0 0
0 1
0 0
0 1
1 0
0 0 0 1 0 0
0 0 0 0 1 0
0 0 0 0 0 1
1 0
0 0
0 1
1 0
0 0 1 0 0 0
0 1 0 0 0 0
1 0 0 0 0 0
1 1
CP CP CP CP CP CP
3.2 单元电路设计与分析
3.2.1 开关控制电路的设计
设74LSl38和显示驱动电路的使能端信号分别为G和A,根据总体逻辑功能表分析及组合得G、A与给定条件(S1、S0、CP)的真值表,如表2所示。
开关控制
S1 S0
CP
使能信号
G A
0 0
0 1
0 1
1 1
1 0
1 1
1 1
CP
0 CP
表2 S1、S0、CP与 G、A逻辑功能真值表
由表6—3经过整理得逻辑表达式
, ,
由上式得开关控制电路,如图2所示
图2 开关控制电路
3.2.2 三进制计数器电路的设计
三进制计数器电路可根据表1由双J—K触发器74LS76构成,此电路结构简单,成本较低,选用此方案。电路图如图3所示
图3 三进制计数器
3.2.3译码与显示驱动电路的设计
译码与显示驱动电路的功能是:在开关控制电路输出和三进制计数器状态的作用下,提供6个尾灯控制信号,当译码驱动电路输出的控制信号为低电平时,相应指示灯点亮。因此,译码与显示驱动电路可用74LS138(其功能表如表3.3所示)、6个与非门和6个反相器构成,逻辑电路如图3.10中的(Ⅰ)所示。图中,译码器74LS138的输入端C、B、A分别接K1、Q1、Q0。当图中G=F=1、K1=0时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D1、D2、D3对应的反相器输出依次为低电平,从而使指示灯D1、D2、D3依次顺序点亮,示意汽车右转弯;当图中G=F=1、K1=1时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D4、D5、D6对应的反相器输出依次为低电平,从而使指示灯D4、D5、D6依次顺序点亮,示意汽车左转弯;当图中G=0,F=1时,译码器输出为全1,使所有指示灯对应的反相器输出全部为高电平,指示灯全部熄灭;当图中G=0,F=cp时,所有指示灯随cp的频率闪烁。实现了4种不同模式下的尾灯状态显示。
3.3.4 尾灯电路的设计
尾灯显示驱动电路由6个发光二极管和6各电阻构成,反相器G1—G3的输出端也依次为0,指示灯D1→D2→D3按顺序点亮,示意汽车右转弯;反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。
3.3.5 秒脉冲电路的设计
由555定时器构成的多谐振荡器;如图4为多谐震荡器的电路,由于555定时器内部的比较器灵敏度搞,输出驱动电流大,功能灵活,又频率受电压和温度影响很小。即此多谐振荡器的震荡频率稳定。
图4 脉冲产生电路
3.3电路的安装与调试
其工作原理图如图5所示,经过以上所述的设计内容及要求的分析,可以图5汽车尾灯控制器电路原理图
图5 电路原理图
首先,通过555定时器构成的多谐振荡器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给双J-K触发器构成的三进制计数器和开关控制电路中的三输入与非门的输入信号。
其次,双J-K触发器构成的三进制计数器用于产生00、01、10的循环信号,此信号提供左转、右转的原始信号。
最后,左转、右转的原始信号通过6个与非门,6个非门以及7410提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。得到的信号即可输出到发光二极管上,实现所需功能。
4 心得体会及建议(四号,黑体)
4.1 心得体会(小四号宋体,加粗)
本次课程设计是我目前收获最大的一次课程设计。我是工学专业的学生,设计是我们将来必需的技能,这次课程设计恰恰给我们提供了一个应用自己所学知识的机会,从到图书馆查找资料到对电路的设计对电路的仿真再到最后电路的成型,都对我所学的知识进行了检验。可以说,本次课程设计有苦也有甜。 设计思路是最重要的,只要你的设计思路是成功的,那你的设计已经成功了一半。因此我们应该在设计前做好充分的准备,像查找详细的资料,为我们设计的成功打下坚实的基础。 制作过程是一个考验人耐心的过程,不能有丝毫的急躁,马虎,对电路的调试要一步一步来,不能急躁,因为是在电脑上调试,比较慢,又要求我们有一个比较正确的调试方法,像把频率调快等等。这又要我们要灵活处理,在不影响试验的前提下可以加快进度。 要熟练地掌握课本上的知识,这样才能对试验中出现的问题进行分析解决。 留给我印象最深的是要设计一个成功的电路,必须要有耐心,要有坚韧的毅力。在整个电路的设计过程中,花费时间最多的是各个单元电路的连接及电路的细节设计上,如CP脉冲的供给通断等。在多种方案的选择中,我们仔细比较分析其原理以及可行的原因,最后还是在老师的耐心指导下,使整个电路可稳定工作。设计过程中,我深刻的体会到在设计过程中,需要反复实践,其过程很可能相当烦琐,有时花很长时间设计出来的电路还是需要重做,那时心中未免有点灰心,有时还特别想放弃,此时更加需要静下心,查找原因。 总体来说,这次实习我受益匪浅。在摸索该如何设计电路使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力。在让我体会到了设计电路的艰辛的同时,更让我体会到成功的喜悦和快乐。
4.2 建议
对于学生来说:做课程设计还是独立完成好。在做设计之前一定要搞清楚各个元件的特征与性质,做设计时就可以对号入座。而且做设计时要明白“要什么,怎么样”这样就不会盲目了,对于设计时会出现的各个错误现象,一定要仔细检查线路,不要盲目的就认为元器件的问题,或是人为其他的问题。做课程设计最主要的是锻炼实践能力,所以要注重独立思考怎样设计,而不是照搬照套。
对于指导老师来说:安排实验时能否在充足的时间安排,还可以有选择的选题,不要把题目限定得太死,充分激发学生兴趣爱好,才能更激情的深刻地做好课程设计。
5 附录
元器件明细表
元件名称
规格
数量
电阻
100Ω
500Ω
8
2
电容
100μF/25V
2
发光二极管
LED(共阴)
6
555定时器
NE555
1
译码器
74LS138
1
开关
2
其他各集
成元件
74LS00
74LS04
74LS10
74LS76
74LS86
1
1
1
1
1
6参考文献


一、灯管采用氙气灯管,单片机控制电路,左右轮换频闪不间断工作。
二、采用闪光器:按其结构不同,可分为阻丝式、电容式和电子式三种。其中阻丝式又可分为热丝式(电热式)和翼片式(弹跳式),而电子式又可分混合式(带触点式的继电器与电子元件)和全电子式(无继电器)。比如弹跳式闪光器,利用电流热效应原理,以热胀冷缩为动力,使弹簧片产生突变动作,来接通和断开触点,实现灯光闪烁。
参考资料: http://www.schneider-electric.cn/sites/china/cn/products-services/buildings/intelligent-buildings/lighting.page


主电路的仿真: 分步仿真:⑴. 汽车左转弯的仿真。在Quartus II 5.0下(以下的仿真都是在这个软件下,并且都是功能仿真)的仿真的电路图和波形。仿真波形分析:如图3所示当S2 S1 S0 =001时候,L3L2 L1变化顺序为:000 001 011 111 000,由于输出为高电平时灯亮,所以尾灯的点亮方式为:L1→L1L2→L1L2L3→全灭→L1……S2 S1 S0 =000的时候,R3 R2R1 ,L1L2L3恒为000,所以所有灯熄灭与实际相符合,所以正确。 ⑵.汽车左右转弯仿真。波形分析:根据图5有当S2 S1 S0 =001时候,L3L2 L1变化顺序为:000 001 011 111 000,R3 R2R1=000由于输出为高电平时灯亮,所以尾灯的点亮方式为:L1→L1L2→L1L2L3→全灭→L1……R3 R2R1恒为熄灭;S2 S1 S0 =010的时候,R3 R2R1变化顺序为:000 001 011 111 000,L1L2L3=000由于输出为高电平时灯亮,所以尾灯的点亮方式为:R1→R1 R2→R1 R2 R3→全灭→R1……L1L2L3恒为熄灭。 S2 S1 S0 =000的时候,R3 R2R1 ,L1L2L3恒为000,所以所有灯熄灭。经过分析与实际相符合,所以仿真正确。 http://www.elecfans.com/article/87/82/2007/200708215527.html http://www.elecfans.com/article/87/82/2007/200708215527.html 参考资料: http://www.elecfans.com/article/88/131/197/2008/200805289493.html


汽车尾灯控制电路包含译码电路和显示驱动电路。其显示驱动电路由6个发光二极管和6个反相器(7404)构成;译码电路由3—8线译码器74138和6个与非门(7400)构成。74138的三个输入端A、B、C分别接三进制计数器的输出端1Q、2Q和转向控制开关=1时,则74138对应的输出端Y4、Y5、Y6依次为0有效,即反相器G4~G6的输出依次为0,故指示灯按D4 D5 D6顺序点亮。当G=1(译码器禁止译码)、S=1时,74138的输出全为1,G1~G6的输出也全为1,指示灯全灭;G =1、S=CP时,指示灯随CP的频率闪烁。(“1”表示高电平,“0”表示低电平),电路中限流电阻取值为0.2 kΩ。


  基于VHDL语言的汽车尾灯控制电路的设计
  摘要:本课题主要是基于可编程逻辑器件,使用硬件描述语言VHDL,采用“自顶向下”的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程下载,它的体积小,功耗低,成本低,安全可靠,能实现控制器的在系统编程,其升级与改进极为方便。
  关键词: VHDL 汽车尾灯控制 时钟信号
  1. 尾灯控制电路总框图,
  根据电路总框图的描述,我们大概可以了解到整个汽车控制尾灯的工作原理,从中我们可以发现当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。并且可以获知本次设计的汽车尾灯控制电路主要分为三个模块,即控制模块,左转LFTA模块和右转RITA模块。了解到这几点,就可以对本次设计作较为详尽的解释。
  2.模块KONG。
  模块KONG如图所示,此为整个程序的控制模块。程序如下:
  Library ieee;
  Use ieee.std_logic_1164.all;
  Entity kong is
  Port(left,right:in std_logic;
  Lft,rit,lr:out std_logic);
  End kong;
  Architecture kong_logic of kong is
  Begin
  Process(left,right)
  Variable a:std_logic_vector(1 downto 0);
  Begin
  A:=left & right;
  Case a is
  When”00”=》lft《=’0’;
  Rit《=’0’;
  Lr 《=’0’;
  When”10”=》lft《=’1’;
  Rit《=’0’;
  Lr 《=’0’;
  When”01”=》rit《=’1’;
  Lft《=’0’;
  Lr 《=’0’;
  When other=》rit《=’1’;
  lft《=’1’;
  lr《=’1’;
  end case;
  end process;
  end kong_arc;
  控制模块首先使用了库说明语句:library ieee;
  Use ieee.std_logic_1164.all
  使用ieee库中的std_logic_1164程序包的全部资源。此控制模块定义的实体名为kong。在程序中要求实体名与存储的文件名一致。实体名为kong,则存储的文件名为kong.vhd。且此段程序包有5个端口,其名称分别为left. Right. Lft. Rit. Lr 。left 和right的端口方式是输入,lft, rit, lr 是输出,他们的端口类型都是std_logic的数据类型。实体说明部分结束以后,就是结构体的说明部分。结构体是整个VHDL语言中至关重要的一个组成部分,这个部分给出模块的具体说明,指定输入与输出之间的行为。结构体对实体的输入输出关系可以用三种关进行描述,即行为描述,寄存器传输描述和结构描述。只不过结构体的框架是完全一样的。本结构体中包含有一个进程语句,进程语句中又包含有两个敏感量process(left ,right),从begin开始到end process结束是一组顺序执行语句,ieee标准数据类型“std_logic_vector”定义了两位位矢量1downto 0,变量为a。程序往下把left和right的与赋值给a,下面便执行case语句了 ,case语句是无序的,所以所有条件表达式的值都是并行处理的。当条件表达式的值为”00”时则把lft ,rit ,lr,都变为0,所有信号都无效。当条件表达式为”10”时,左转信号lft有效,其它信号都无效,当条件表达式的值为”01”时右转信号rit有效,其余的无效。若条件表达式为其它的情况的话,那么就将rit ,lft ,lr 全部置1,即全部有效。最后结束case语句 end case .结束进程和结构体语句。
  3. 模块LFTA
  源程序:
  Library ieee;
  Use ieee.std_logic_1164.all;
  Entity lfta is
  Port(en,clk,lr:in std_logic;
  L2,l1,l0:out std_logic);
  End lfta;
  Architecture lft_arc of lfta is
  Begin
  Process(clk,en,lr)
  Variable tmp:std_logic_vector(2 downto 0);
  Begin
  If lr=’1’ then
  Tmp:=”111”;
  Elsif en=’0’ then
  Tmp:=”000”;
  Elsif clk’event and clk=’1’ then
  If tmp=”000” then
  Tmp:=”001”;
  Else
  Tmp:=tmp(1 downto 0) & ‘0’;
  End if ;
  End if;
  L2《=tmp(2);
  L1《=tmp(1);
  L0《=tmp(0);
  End process;
  End lft_arc;
  模块LFTA同样使用了ieee库语句,定义的实体名为lfta,其共分为六个端口即en,clk,lr,l2,l1,l0,其中en,clk,lr为输入,l2,l1,l0的端口方式为输出,而它的端口类型同样也为std_logic数据类型。LFTA程序中结构体名为lft_arc,实体名为lfta 。结构体中包含有一个进程,共定义了三个敏感量clk,en,lr,设变量名tmp为2 downto 0 的三位位矢量。当左右开关同时接通时lr有效,即lr=1,此时tmp:=”111”右边的三盏灯全亮起来,当tr=1时但en=0则左边三盏灯全灭不亮。而如果这两种情况都不是的话,那么lr=’0’时当时钟上升沿脉冲到来时,如果tmp=”000”则左边第一盏灯亮,否则就将tmp(1 downto 0)和’0’的与赋值给tmp,那么依次左边的三盏灯就能实现从左到右按次序亮灭了。最后将tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,结束程序和结构体。这就是在实现左转弯的时候执行的程序的全过程。通过对左转的理解,右转弯就很容易了,其执行的过程和左转弯的时候非常相似的 。我们也可发现LFTA模块的功能是当左转时控制左边的三盏灯,当左右转信号都有效时,输出为全’1’。下面来看一下右转弯控制模块。
  4.模块RITA
  源程序:
  Library ieee;
  Use ieee.std_logic_1164.all;
  Entity rita is
  Port(en,clk,lr:in std_logic;
  R2,r1,r0:out std_logic);
  End rita;
  Architecture rit_arc of rita is
  Begin
  Process(clk,en,lr)
  Variable tmp:std_logic_vector(2 downto 0);
  Begin
  If lr=’1’ then
  Tmp:=”111”;
  Elsif en=’0’ then
  Tmp:=”000”;
  Elsif clk’event and clk=’1’ then
  If tmp=”000” then
  Tmp:=”100”;
  Else
  Tmp:=’0’ & tmp(2 downto 1);
  End if;
  End if ;
  R2《=tmp(2);
  R1《=tmp(1);
  R0《=tmp(0);
  End process;
  End rit_arc;
  和左转弯时候的相同,右转弯时再次使用了ieee的库说明,这样我们可以很清楚的理解了右转弯的原理,此时库定义的实体名为rita,对于实体名前面已经讲过了不再重复了,同样的程序包中还是使用了6个端口en ,clk,lr,r2,r1,r0. en ,clk, lr的端口方式是输入,r2,r1,r0的端口方式是输出。结构体中和左转时相同引入一个进程同时和三个敏感量:clk,en,lr。变量tmp为2downto 0的三位位矢量。当左右开关同时接通时lr=’1’,那么此时变量tmp=’111’,即右面的三盏灯都有信号,三盏灯全亮。否则lr=’0’,当en=’0’时,tmp=’000’,即三盏灯全灭掉。Elsif clk’event and clk=‘1’即当时钟脉冲上升沿到来时,en=’1’,如果tmp=”000”,就把”100”送到tmp 此时右边的第一盏灯亮。否则就把’0’和tmp(2 downto 1)的与送到tmp,则依次为右边第一盏灯,第二盏,第三盏亮。然后结束if语句。这个之后就和左转的程序是一样的了,将tmp(2)中的数值送到r2,将tmp(1)中的数值送到r1,将tmp(0)中的数据送到r0,然后结束进程语句和整个结构体语句。那么到这里整个汽车尾灯的VHDL程序控制就结束了。
  5.结论:
  本次设计用到了硬件描述语言VHDL实现了对汽车尾灯的控制,总结整个设计程序我们可以发现一些问题;
  设计中的优点:基本实现了汽车在运行时候尾灯点亮方式的各种情况。
  设计中的不足:由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS触发器来实现,所以在条件允许的情况下可以对整个设计进行进一步的改进。
  6.参考资料:
  王振红 《VHDL数字电路设计与应用实践教程》 机械工业出版社 2006年1月
  彭容修 《数字电子技术基础》 武汉理工大学出版社 2005年9月
  潘松 黄继业 《EDA技术与VHDL》 清华大学出版社 2006年11月
  2009.12.27
  library ieee;
  use ieee.std_logic_1164.all;
  entity ZHUKONG is
  Port(left,right:in std_logic;
  Lft,rit,lr:out std_logic);
  end;
  architecture kong_arc of ZHUKONG is
  begin
  Process(left,right)
  Variable a:std_logic_vector(1 downto 0);
  Begin
  A:=left & right;
  Case a is
  When“00“=》lft《=’0’;
  Rit《=’0’;
  Lr 《=’0’;
  When“10“=》lft《=’1’;
  Rit《=’0’;
  Lr 《=’0’;
  When“01“=》rit《=’1’;
  Lft《=’0’;
  Lr 《=’0’;
  When others=》rit《=’1’;
  lft《=’1’;
  lr《=’1’;
  end case;
  end process;
  end kong_arc;
  library ieee;
  use ieee.std_logic_1164.all;
  entity LFTA is
  Port(en,clk,lr:in std_logic;
  L2,l1,l0:out std_logic);
  end;
  architecture lft_arc of LFTA is
  begin
  Process(clk,en,lr)
  Variable tmp:std_logic_vector(2 downto 0);
  Begin
  If lr=’1’ then
  Tmp:=“111“;
  Elsif en=’0’ then
  Tmp:=“000“;
  Elsif clk’event and clk=’1’ then
  If tmp=“000“ then
  Tmp:=“001“;
  Else
  Tmp:=tmp(1 downto 0) & ’0’;
  End if;
  End if;
  L2《=tmp(2);
  L1《=tmp(1);
  L0《=tmp(0);
  End process;
  end lft_arc;
  library ieee;
  use ieee.std_logic_1164.all;
  entity RITA is
  Port(en,clk,lr:in std_logic;
  R2,r1,r0:out std_logic);
  end;
  architecture rit_arc of RITA is
  begin
  Process(clk,en,lr)
  Variable tmp:std_logic_vector(2 downto 0);
  Begin
  If lr=’1’ then
  Tmp:=“111“;
  Elsif en=’0’ then
  Tmp:=“000“;
  Elsif clk’event and clk=’1’ then
  If tmp=“000“ then
  Tmp:=“100“;
  Else
  Tmp:=’0’ & tmp(2 downto 1);
  End if;
  End if ;
  R2《=tmp(2);
  R1《=tmp(1);
  R0《=tmp(0);
  End process;
  end rit_arc;


  1.1设计的目的
  本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。
  1.2设计的基本内容
  根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。
  1.3 EDA的介绍
  1.3.1 EDA技术的概念
  EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
  1.3.2 EDA技术的特点
  利用EDA技术进行电子系统的设计,具有以下几个特点:① 用软件的方式设计硬件;② 用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③ 设计过程中可用有关软件进行各种仿真;④ 系统可现场编程,在线升级;⑤ 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。
  1.3.3 EDA设计流程
  典型的EDA设计流程如下:
  1、文本/原理图与修改。首先利用EDA工具的文本或图形器将设计者的设计意图用文本或图形方式表达出来。
  2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。
  3、 综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。
  4、 行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。
  5、适配。利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。
  6、 功能仿真和时序仿真。
  7、 下载。如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过FPGA/CPLD下载电缆载入目标芯片中。
  8、 硬件仿真与测试。
  1.4硬件描述语言(VHDL)
  1.4.1 VHDL的介绍
  VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本
  1.4.2 VHDL语言的特点
  1.用VHDL代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。
  2.VHDL元件的设计与工艺无关,与工艺独立,方便工艺转换。
  3.VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。
  4.可以进行从系统级到逻辑级的描述,即混合描述。
  5.VHDL区别于其他的HDL,已形成标准,其代码在不同的系统中可交换建模。
  2.总体设计
  2.1需求分析
  根据现代交通规则,汽车尾灯控制器应满足以下基本要求:
  1.汽车正常使用是指示灯不亮
  2.汽车右转时,右侧的一盏灯亮
  3.汽车左转时,左侧的一盏灯亮
  4.汽车刹车时,左右两侧的指示灯同时亮
  5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用
  2.2汽车尾灯控制器的工作原理
  汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。通过设置系统的输入信号:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT和系统的输出信号:汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指示灯RD1、RD2、
  RD3实现以上功能。系统的整体组装设计原理如图3.1所示。
  图2.3整体组装设计原理
  2.3 汽车运行状态表和总体框图
  汽车尾灯和汽车运行状态表如表1所示。
  汽车尾灯和汽车运行状态表1-1
  开关控制 汽车运行状态 右转尾灯 左转尾灯
  S0 S1 S2 R1 R2R3 L1L2L3
  0 0 0 正常运行 灯灭 灯灭
  0 0 1 左转弯 灯灭 按L1L2L3顺序循环点亮
  0 1 0 右转弯 按R1R2R3顺序循环点亮 灯灭
  0 1 1 临时刹车/检测 所有尾灯同时点亮
  1 0 0 倒车 所有尾灯按照转弯次序点亮
  1 0 1 晚上行车时 R3 ,L3一直点亮
  汽车尾灯控制电路设计总体框图如图1所示:
  图1 汽车尾灯控制电路设计总体框图
  3.详细设计
  3.1各组成模块
  实现的主要功能是通过开关控制从而实现汽车尾灯的点亮方式。汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。
  3.2时钟分频模块
  整个时钟分频模块的工作框图如图3.2所示。
  图3.2时钟分频模块工作框图
  时钟分频模块由VHDL程序来实现,下面是其中的一段VHDL代码:
  ARCHITECTURE ART OF SZ IS
  SIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0);
  BEGIN
  PROCESS(CLK)
  BEGIN
  IF CLK’EVENT AND CLK = ’1’THEN
  COUNT 《= COUNT + 1;
  END IF;
  END PROCESS;
  CP《= COUNT(3);
  END ART;
  3.3 汽车尾灯主控模块
  汽车尾灯主控模块工作框图如图3.3所示
  图3.3 主控模块工作框图
  汽车尾灯主控模块由VHDL程序来实现,下面是其中的一段VHDL代码:
  ARCHITECTURE ART OF CTRL IS
  BEGIN
  NIGHT_LED《=NIGHT;
  BRAKE_LED《=BAKE;
  PROCESS(LEFT,RIGHT)
  VARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0);
  BEGIN
  TEMP:=LEFT & RIGHT;
  CASE TEMP IS
  WHEN “00“ =》LP《=’0’;RP《=’0’;LR《=’0’;
  WHEN “01“ =》LP《=’0’;RP《=’1’;LR《=’0’;
  WHEN “10“ =》LP《=’1’;RP《=’0’;LR《=’0’;
  WHEN OTHERS=》LP《=’0’;RP《=’0’;LR《=’1’;
  END CASE;
  END PROCESS;
  END ART;
  3.4左边灯控制模块
  左边灯控制模块的工作框图如图3.4所示。
  图3.4左边灯控制模块的工作框图
  左边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:
  ARCHITECTURE ART OF LC IS
  BEGIN
  LEDB《=BRAKE;
  LEDN《=NIGHT;
  PROCESS(CLK,LP,LR)
  BEGIN
  IF CLK’EVENT AND CLK = ’1’ THEN
  IF(LR =’0’)THEN
  IF(LP = ’0’)THEN
  LEDL《=’0’;
  ELSE
  LEDL《=’1’;
  END IF;
  ELSE
  LEDL 《=’0’;
  END IF;
  END IF;
  END PROCESS;
  END ART;
  3.5右边灯控制模块
  右边灯控制模块的工作框图如图3.5所示
  图3.5 右边灯控制模块的工作框图
  右边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:
  ARCHITECTURE ART OF RC IS
  BEGIN
  LEDB《=BRAKE;
  LEDN《=NIGHT;
  PROCESS(CLK,RP,LR)
  BEGIN
  IF CLK’EVENT AND CLK = ’1’ THEN
  IF(LR = ’0’)THEN
  IF(RP = ’0’)THEN
  LEDR 《=’0’;
  ELSE
  LEDR 《= ’1’;
  END IF;
  ELSE
  LEDR 《=’0’;
  END IF;
  END IF;
  END PROCESS;
  END ART;
  4.系统仿真与调试
  4.1分频模块仿真及分析
  分频模块由VHDL程序实现后,其仿真图如图4.1所示
  图4.1 分频模块仿真图
  对其仿真图进行仿真分析:如图所示,首先生成一个600ns的时钟脉冲,通过时钟分频把600ns的脉冲分成一个40ns的脉冲,实现了信号同步。
  4.2汽车尾灯主控模块仿真及分析
  汽车尾灯主控模块由VHDL程序实现后,其仿真图如图4.2所示。
  图4.2主控模块时序仿真图
  对时序仿真图进行分析:RIGHT,LEFT,NIGHT,BRAKE 为输入信号,RIGHT为1表示右转,LEFT为1表示左转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。RP,LP,NIGHT_LED,BRAKE_LED为输出信号。如图所示:当RIGHT为1时,产生一个RP为1的信号脉冲输出,当LEFT为1时,产生一个LP为1的信号脉冲输出,当NIGHT为1时,产生一个NIGHT_LED为1的信号脉冲输出。当BRAKE为1时,产生一个BRAKE_LED为1的信号脉冲输出。
  4.3左边灯控制模块仿真及分析
  左边灯控制模块由VHDL程序实现后,其仿真图如下图4.3所示。
  对时序仿真图进行分析:LP,LR,NIGHT,BRAKE 为输入信号,LP为1表示左转,LR为1表示右转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。LEDL,LEDB,LEDN为输出信号,表示汽车左侧的三盏灯。如图所示:当LP为1时,LEDL输出为1表示左侧灯亮,当BRAKE为1时,LEDB输出为1表示左侧灯亮,当NIGHT为1时,LEDN输出为1表示左侧灯亮。当LR为1时,左侧三盏灯输出均为0。即没有灯亮。
  图4.3左边灯控制模块时序仿真图
  4.4右边灯控制模块仿真及分析
  右边灯控制模块由VHDL程序实现后,其仿真图如图4.4所示。
  图4.4 右边灯控制模块时序仿真图
  对时序仿真图进行分析:RP,LR,NIGHT,BRAKE 为输入信号,LR为1表示左转,RP为1表示右转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。LEDR,LEDB,LEDN为输出信号,表示汽车右侧的三盏灯。如图所示:当RP为1时,LEDR输出为1表示右侧灯亮,当BRAKE为1时,LEDB输出为1表示右侧灯亮,当NIGHT为1时,LEDN输出为1表示右侧灯亮。当LR为1时,右侧三盏灯输出均为0。即没有灯亮。
  4.5整个系统仿真及分析
  按图2.3组装系统后的仿真图如下图4.5所示。
  对时序仿真图进行分析:RIGHT,LEFT,NIGHT,BRAKE 为输入信号,RIGHT为1表示右转,LEFT为1表示左转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。RD1,RD2,RD3为输出信号,表示汽车右侧的三盏灯。LD1,LD2,LD3为输出信号,表示汽车左侧的三盏灯。如图所示:当RIGHT为1时,RD1输出为1表示右侧灯亮,当LEFT为1时,LD1为输出为1表示左侧灯亮,当NIGHT为1时,LD2,RD2输出均为1,表示左,右两侧各有一盏灯亮。当BRAKE为1时,LD3,RD3输出均为1,表示左,右两侧各有一盏灯亮。
  图4.5 整个系统仿真图
  4.6 总体设计电路图
  图4.6总体设计电路图
  总结
  通过两星期的紧张工作,最后完成了我的设计任务——汽车尾灯控制器的设计。通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。希望学校以后多安排一些类似的实践环节,让同学们学以致用。
  在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和设计中遇到的问题,也积累了一定的经验,对以后从事集成电路设计工作会有一定的帮助。在应用VHDL的过程中让我真正领会到了其并行运行与其他软件顺序执行的差别及其在电路设计上的优越性。用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。
  参考文献
   王爱英.计算机组成与结构.北京:清华大学出版社,2001.2,
   黄仁欣.EDA技术实用教程.北京:清华大学出版社,2006
   曹昕燕,周凤臣,聂春燕.EDA技术实验与课程设计.北京:清华大学出版社,2006.5
   杨亦华,延明.数字电路EDA入门.北京:北京邮电大学出版社,2003
   彭容修,《数字电子技术基础》, 武汉,武汉理工大学出版社,2005
   潘松 ,黄继业《EDA技术与VHDL》,北京,清华大学出版社,2006

左右转弯开关一般为单刀3档开关(如果要用自动控制可用霍尔开关、微动开关或水银开关等代替,左右转弯就一般直接控制输出再加电路就没有意义,直行加个或非门就行了,只有左右输出同为低电平才亮直行灯。


第一次回答可获2分,答案被采纳可获得悬赏分和额外20分奖励。汽车尾灯两侧各有3个指示灯汽车运行时具有如下模式:
1.汽车正向行使时,左右两侧的指示灯全部处于熄灭状态。
2.汽车右转弯行驶时,右侧的3个指示灯按右循环顺序点亮,
3.汽车左转弯行驶时,左侧的3个指示灯按左循环顺序点亮
4.汽车临时刹车时,左右两侧的指示灯同时处于闪烁状


相关tag:汽车尾灯控制电路设计思路
本站部分资源来源于网络,如果侵犯了您的权益,请联系我们删除1354090129@qq.com

谁不想把车卖个好价钱简评2020年二手车保值率排名

谁不想把车卖个好价钱简评2020年二手车保值率排名

  • 谁不想把车卖个好价钱简评2020年二手车保值率排名
  • 新疆:印发促进汽车消费增长措施通知
  • 2020年二手车保值率:雷车最高,两田坚挺,五菱成自主第一
  • 二手车交易法规问题
  • 中国汽车流通行业年会征文活动
  • 2016中国汽车流通行业年会是否对二手车领域进行了关注
  • 请回答2021 | 谁在“杀死”4S店

现在的人,尤其是年轻人,换车周期越来越短,已经很少有人会把一辆车开到报废。而同样开3年,有的车还有60%-70%的保值率,有的只剩20%。所以,保值率成了买车时必须要参考的一个重要指标。

近日,中国汽车流通协会在其2020年会上发布了《2020中国二手车行业流通发展报告白皮书》,详细贴出了2020年1-9月中国二手车的保值率情况。

从品牌保值率方面看,日系主流品牌的保值率依旧坚挺。雷克萨斯、丰田、本田和斯巴鲁,3年车龄平均保值率分别达78.79%、82.70%、76.54%、75.21%和71.41%。

雷克萨斯却依然坚守进口车的最后底线,这与雷克萨斯实行的匠人文化是密不可分的,作为丰田旗下的高端品牌,雷克萨斯凝结了所有丰田的顶尖技术,虽然说在外观豪华感上比不上德系的BBA,但因雷克萨斯的工匠精神,每一辆车都是精雕细琢,堪称是艺术品一般的对待,对于每辆车的制造工艺上都达到了精益求精,吹毛求疵的地步,这也是一直无法实现国产化的原因。

德系品牌保值率普遍高于市场水平,保时捷保值率78.79%,奔驰保值率达68.18%,大众品牌是62.88%,宝马和奥迪分别为61.56%和60.96%;

保时捷之所以能够如此高的保值率,原因主要在两个方面:第一是消费者对于保时捷品牌的认可,panamera、卡宴等车型的价格都非常坚挺;第二是保时捷一般来说都有非常多的选装配置,因此最终的成交价格往往会比官方指导价高出许多,最终也导致了二手车交易时价格更高。

美系品牌有特斯拉超过60%,别克、Jeep、福特、雪佛兰等品牌均低于市场平均水平,凯迪拉克三年保值率为53.27%。

无可否认的事实是,与其他品牌的电动汽车相比,特斯拉算是最畅销,拥有的平均年限最长,而且二手车的销量都很低。同时二手车数量少,与特斯拉客户的高客户满意度、全球高速充电网络、领先的驾驶员助手系统、良好的服务体验、低维护和服务成本,以及通过无线软件更新的持续改进相关,这几项加起来几乎可让特斯拉几乎保持率最高。

自主品牌方面,五菱、宝骏、WEY、广汽传祺和长安的保值率均高于60%,而吉利的3年车龄平均保值率为55.95%,哈弗则为58.75%。

随着不断的换代升级,五菱宏光的配置也在不断的提升,颜值越来越时尚,座椅可以选择仿皮的了,满足大家不断上升的生活水平。很多五菱宏光的车主表示现在满意的生活,有一半都要归功于五菱宏光。

韩系品牌捷尼赛思和双龙、法系品牌标致、雪铁龙等品牌保值率堪忧,三年后大打折扣。

具体细分车型方面,日系品牌也几乎霸榜。在紧凑型轿车领域,?本田思域的保值率排名第一,其3年车龄保值率达77.32%。

思域借鉴本田TYPE?R设计风格,一眼看上去极具战斗范儿,硬派霸气的前唇+后扩散器+扰流板,极具潮流运动气质。个性犀利的车身线条搭配大溜背式车身造型,神似十代全新本田雅阁的味道,,颜值高过大众CC。轴距与马自达3昂克赛拉基本一致,运动化包围+中置双出排气,极具小钢炮气质。

在内饰方面,保持了本田家族化的设计风格,中控层次分明简洁立体,多次红色缝线处理+铝制打孔踏板,运动气息一览无余。在动力方面,本田思域依旧搭载1.5T发动机,最大功率达到130kW,峰值扭矩达到220牛·米,匹配CVT变速箱。

中大型轿车方面,雷克萨斯ES的保值率十分亮眼,3年车龄达到84.96%,该车在市场上长期以加价的方式销售,也是该车产品特性及品牌溢价的真实反映。

雷克萨斯ES一直是中大型轿车的豪华代表,并且雷克萨斯一直有着自己独特的见解。设计师称其为“provocativeelegance“挑衅式优雅,我个人觉得有一定的攻击性的外观和优雅的内饰。这次雷克萨斯也是破天荒的推出了运动版和豪华版,把豪华发挥到极致。

内饰,也运用BBA的套娃技巧,基本借鉴了新一代LS的内饰设计。悬浮式中控屏和非对称设计以及整体色调偏冷的色调更加强调年轻感和科技感。动力方面,提供2.0L自然吸气(ES?200)、2.5L自然吸气(ES?260)和2.5L混合动力(ES?300h)三种动力可选。

小型车是韩系品牌,起亚焕驰以87.74%的一年保值率排名第一,击败了飞度、纳瑞等车型。

新款东风悦达起亚焕驰采用了起亚品牌的家族式设计,虎啸式前格栅与大灯组的组合,相得益彰。新车的长宽高分别为4300/1700/1460mm,轴距为2570mm。尾部线条十分简洁,但有着十分出众的视觉效果。

内饰方面,该车采用了全新的设计和布局,同时整体的风格主打时尚和简约风格。动力方面,搭载的是型号为G4LC的1.4L自然吸气发动机,其最大功率为70kW,最大扭矩为132Nm,与之匹配的是4速自动变速箱。

在MPV市场,最保值的车型的丰田埃尔法,三年保值率达到了104.30%,也就是说,开了三年时间的埃尔法还能加价在二手车市场再次出售。

埃尔法完全沿用了现款在售车型的设计,前脸依然采用家族化设计语言,大面积镀铬进气格栅让整个车头显得相当霸气,大灯中部由镀铬饰条所贯穿,配合“大嘴“让前脸极具攻击性。车身侧面的造型也保持了现款的轮廓设计,凌厉侧身线条彰显了这款车的商务身份,电动侧滑门设计依然非常方便。

内饰方面,可以说是这台丰田埃尔法最受关注的地方之一,航空级的贵宾座椅是这台车的标准配置,并且每个座椅还都能进行18向调节,并且带有按摩以及通风和加热功能,再加上后排独立的空调和影音系统,坐在这样一辆丰田埃尔法车内,一定会有一种比风机头等舱还要舒适的感觉。动力方面,搭载一台2.5升混合动力系统,传动系统方面,新车匹配E-CVT无级变速箱,并提供E-FOUR电动四驱系统。

现在因为各种原因,已经很少有人能把一辆车开到报废了。所以,保值率就显得更加重要,保值率高的车型,不但可以让你打算卖车的时候不至于肉疼,还能降低一辆车全寿命周期中的使用成本。而且,保值率高的车,往往也代表着可靠性、质量表现都不错。所以,希望大家关注保值率,买到最适合自己的爱车。

???日前,我们从官方获悉,为增强新疆汽车消费市场活力,自治区商务厅、自治区发展改革委等15家部门联合印发《关于进一步促进汽车消费增长若干措施的通知》,从加快淘汰老旧车辆、开展各类促消费活动、推广新能源汽车等方面出台15条措施促进汽车消费。

?《通知》提出,要加快老旧高排放车辆淘汰更新,推进老旧高排放汽车报废管理;加快公共服务领域老旧车辆淘汰,推动城市公共领域车辆更新升级;完善报废车辆回收处理体系,加强对回收拆解企业的规范管理,提高报废机动车的回收和拆解再利用水平。

?《通知》提出,要积极推动城乡汽车消费升级,组织开展“汽车下乡”“以旧换新”等促销活动;支持汽车企业提高产销率,鼓励各地结合老旧车辆淘汰报废更新,落实汽车消费促进政策,支持开展各类汽车促消费活动。

?《通知》强调,要大力推广使用新能源和清洁能源汽车;推进电动汽车充电设施建设,加快充电基础设施综合体建设,鼓励以“充电桩设施+分布式能源+储能项目+商业”的综合体方式建设充电设施;鼓励在物流产业园、大型商业购物中心、居民区等人口密集地建设充电设施;鼓励各地州中心城市以特许经营权方式开展充电基础设施综合体建设,同时落实相关激励政策。

?《通知》还要求,要规范二手车流通与扩大出口,推进二手车交易管理信息化建设,简化二手车交易手续。合理布局二手车交易市场建设,强化二手车交易市场制度化管理,规范二手车交易流程,提高二手车交易市场交易登记便利性;积极申请二手车出口试点,进一步拓展国际市场,推动汽车流通体制创新发展。

?在优化汽车消费发展环境方面,《通知》指出,要规范汽车消费市场秩序,加大汽车消费市场监管力度,鼓励汽车销售龙头企业发展线上线下新业态、新模式;培育汽车消费市场氛围,加强消费者权益保护,实现机动车登记服务“一站式”办理,提升汽车消费服务效能;提升汽车金融服务质效,加强汽车金融产品创新,适应多样化汽车消费需求,同时加大对汽车个人消费信贷支持力度,持续释放汽车消费潜力。(编译/汽车之家?马艾骏)

对不少人而言,车辆保值率其实算是他们购车的一种参考数据。毕竟谁也不会一辈子就开一辆车,要是以后要卖车的话保值率太低,那岂不是有点划不着,所以很多人在买车的时候其实是比较看重保值率的。

就在近日,中国汽车流通协会在其2020年会上发布了《2020中国二手车行业流通发展报告白皮书》,详细贴出了2020年1-9月中国二手车的保值率情况。

雷克萨斯依然“牛逼“

从公布的数据来看,保值率最高的便是雷克萨斯了,达到了82.7%,也是各国品牌中唯一上了80%的。作为丰田旗下的高端品牌,雷克萨斯近年来在国内的销量一路走高,甚至在去年取得了年销超20万辆的好成绩,丝毫不受车市萎靡的影响。对于雷克萨斯而言,如今“加价提车“已经成为了一种普遍的现象,只能说丰田的“饥饿营销“策略是真的牛,以前的埃尔法也是因为这个原因而把价格炒到了上百万,而雷车如今也是“香饽饽“。

对比起雷克萨斯,其他豪华品牌明显要低不少,奔驰品牌3年车龄平均保值率达68.18%,宝马和奥迪分别为61.56%和60.96%。美系豪华品牌中,排名第一的是特斯拉,其实大家对于电动车的保值率一直存疑,没想到特斯拉能够达到63.64%,确实在新能源这一领域特斯拉是“佼佼者“,而“跳水王子“凯迪拉克则要惨淡得多,保值率只有53.27%,连别克都不如。

日系品牌普遍比德系坚挺

回归到合资阵营中,日系品牌的保值率普遍都比较坚挺。

抛去雷克萨斯这个大魔头不说,丰田和本田就已经非常扎眼了,其保值率分别达到了76.54%和75.21%。能够取得这样的成绩,主要还是因为旗下的车型都表现得十分亮眼。

在紧凑型轿车领域,?本田思域的保值率排名第一,其3年车龄保值率达77.32%。二三名分别是杰德和卡罗拉,保值率分别为73.06%和71.88%。不过在小型车领域,拿到保值率第一名的起亚焕驰,以87.74%的一年保值率击败了飞度。但从三年保值率来看,小型车市场的“保值王“仍旧是飞度,三年保值率为74.87%,超过起亚焕驰的72.62%。

能够在众多品牌中保持着高位,两田也确实有着实力和口碑的加持。数据显示,丰田10月份在华销量同比增长33.3%至17.56万辆;1-10月,销量同比增长9.5%至144万辆。而本田10月份在华实现终端销量18.06万辆,同比增长22.3%,前十个月累计销量也达到了127万辆,不断增长的数据也表明两田在疫情得到控制之后也在很快回暖。

自主品牌中,五菱和宝骏称霸

不过在自主品牌的阵营中,保值率最高的是五菱和宝骏。

具体来看,五菱的保值率是自主品牌中的第一名,达到了63.45%,而宝骏的保值率稍低,达到了62.78%,排在后面的则是长城的高端品牌WEY,保值率达到了61.40%,广汽传祺、长安、哈弗紧随其后。

作为自主品牌中性价比非常高的品牌,五菱旗下的五菱宏光长期都霸榜着MPV市场的第一名。而如今看来,五菱的保值率还真不低,一个非常重要的原因便是因为它十分的皮实耐用。

大家都知道,虽然价格不高,但五菱宏光的三大件其实还真不差,通用技术的发动机、古老而稳定5MT、以及用料扎实的底盘悬架,这几点保证了这台车干活的时候没问题,而且都是久经市场考验,成熟度相当高。

而宝骏的保值率也不错,具体来看,在小型车领域,宝骏310的一年保值率达到了81.84%,三年保值率也有61.21%。而在MPV中,宝骏730的一年保值率达到了73.77%,三年保值率达到了62.44%。

写在最后

虽然说保值率的上升很大一部分与消费者们的追捧有关,但也与车企自身的可靠的产品力与赞不绝口的口碑息息相关。不得不说,近年来的消费者们都变得愈发的理智了,让不少企图以价换量的车企“身受重伤“,就算得到了一时的销量,但是保值率与口碑掉了,长远来看也还是很受伤的。

中国汽车流通协会副会长沈进军:
我国二手车市场的新变化和老问题
本报记者 崔进贤
由国家商务部等四部委联合发布的《二手车流通管理办法》自今年10月1日开始实施以来,至今已经一个月了。新的《二手车流通管理办法》将给我国的二手车市场带来哪些变化;二手车流通领域如何去适应新的《二手车流通管理办法》;即将加入二手车流通领域的新车经销商需要做哪些准备等问题,成了10月28日在北京召开的第八届全国二手车行业年会最受关注的话题。
近年来,我国二手车市场发展之快,大大出乎人们的预料:新的二手车市场竞争态势逐渐形成;二手车交易由过去的集中交易模式逐渐向多元化主体的经营模式过渡;新老经营主体通过各种途径不断提升服务质量以适应不断变化的市场;一系列有利于中国二手车市场规范发展的政策相继出台等。这一切都昭示着中国二手车市场迈入了新的历史阶段。从1999年到2004年的5年中,全国二手车交易量增长了6.41倍,交易额增长近5倍。据对全国31个省市265家二手车交易市场统计,今年1~8月全国二手车累计交易量93.57万辆,同比增长10.35%。
10月28日,中国汽车流通协会副会长沈进军在第八届全国二手车行业年会上发言,对当前我国二手车市场出现的一系列新变化和影响二手车市场健康发展的老问题做了全面分析。
当前我国二手车市场发展的新特征
沈进军副会长对我国二手车市场发展的新特征概述为:
二手车经营主体出现了由单一模式向多元化转变。
沈进军说,经过一段时间的尝试,新车供应商、经销商参与二手车经营的热情已经转化成现实的行动。在部分有实力、有条件的新车供应商的组织和带动下,一批新车经销商纷纷“试水”二手车经营业务,并且在注重品牌效应,连锁经营、售后服务等更高层面上开始了规模化运营的尝试。与此同时,国际上知名的二手车行业相关企业也通过前期的市场调查,转而进入建立办事机构、洽谈建立合资企业的实际操作阶段。一个以二手车交易市场、二手车经纪公司为传统力量,二手车经销、拍卖等众多新兴主体参与的多元化二手车经营格局已经形成,初步实现了二手车经营主体由原来的单一模式向多元化经营模式的转变。
新车市场与二手车市场的联动效应更加明显,两个市场的互动性进一步增强。
近年新车市场出现“井喷”行情以来,二手车市场发展相对滞后于新车市场的局面自2004年开始出现变化。今年1~8月,国产新车市场与二手车市场的同比增长率均达到了10%以上。置换业务的广泛开展为新旧汽车两个市场带来了生机与活力,在促进了新车销售的同时,也为二手车市场的扩充提供了丰富的经营资源。
新车经销商、汽车供应商与二手车交易市场的联系更加紧密,互利合作的发展态势得到进一步延续和深化。
新车市场和二手车市场“天然”的互动关系使得汽车供应商、新车经销商在二手车交易市场的合作成为必然。各地二手车交易市场在长期的经营过程中积累了丰富的市场运作经验;和公安交管部门、工商管理部门等保持着长期的合作关系;拥有庞大的二手车经营网络、信息资源和人才资源等,这些优势恰恰是汽车供应商和新车经销商开展二手车经营业务的薄弱环节。因此,汽车供应商和新车经销商迫切希望同有经验的二手车交易市场开展合作,共同推进二手车置换业务。近年来的实际运营状况表明,这种合作是卓有成效的。
二手车经营内涵得到进一步的拓展和深化。
当前,苦练内功,拓宽经营思路,增加服务内容与功能已经成为众多二手车经营机构的共同选择。以北京、上海、天津等二手车交易市场为代表的大批二手车流通企业,以追求高品质服务作为企业生存发展的根本,走出了一条以二手车交易服务为主线,以置换、拍卖、鉴定评估、美容、维修等多种经营服务模式并存的发展之路。他们在营销方法与手段上,不断推陈出新,一些企业引入二手车网上拍卖系统,通过开展网上交易、定期或不定期举办现场拍卖会,为二手车交易市场在新的市场形势下实现可持续发展,提供了新的思路和经验。
二手车市场的巨大增长空间显示了行业良好的发展前景。
据国家有关部门统计数据显示:1999年到2004年,全国二手车交易量增长了6.41倍,交易额增长近5倍;2004年全国二手车市场交易总量达到了134.08万辆,比2003年增长20.21%。有资料表明,西方汽车大国的二手车交易量一般都是新车交易量的两三倍,而我国二手车的交易量一般只有新车交易量的1/3,市场空间和前景都非常可观。
当前制约我国二手车流通行业健康发展的主要问题
沈进军副会长把制约我国二手车流通行业健康发展的主要问题归纳为:
二手车流通缺乏健全的法规与科学的管理体系。
沈进军说,今年10月1日开始实施的《二手车流通管理办法》距原来执行的《旧机动车交易管理办法》已过了7年之久。这7年里,二手车的市场交易量增长了六七倍,特别是近几年来人们生活水平的大幅度提高和消费观念的日益成熟,公路建设、城市基础建设的大发展以及交通、旅游业的发展对汽车的需求包括二手车的需求急剧增加。在这种情况下,我国二手车市场在流通管理上的相对滞后与市场需求高速发展之间的矛盾表现得十分突出。
二手车交易数量的增加并没有给二手车市场带来质的飞跃。
目前各地二手车交易市场以代理交易为主的交易方式并没有质的改变,同时,在赢利模式上依然延续着靠收取手续费的传统方式。二手车交易尽管在量上有比较明显的增长,但是在质上的变化却不是很明显,在相当程度上影响并制约着二手车市场的持续发展。
诚信问题仍是困扰行业健康发展的重要因素。
目前我国的二手车市场还是一个信息不对称的市场。消费者很难获取购置二手车所必须的与车辆相关的信息,包括车辆的合法性信息、车辆的维修信息等等。问题在于,上述信息内容由于分别由各自归口单位封存,不能实现共享,因此可能连经营者也无从查找到这些信息。广大消费者仍将面对质量欺诈、价格欺诈、购买非法车辆等风险。其结果必然是二手车市场难以取得消费者的信赖,许多潜在的二手车需求难以转化成为现实的市场需求,诚信问题仍是困扰行业的重要因素。
二手车鉴定评估机构的生存和发展面临着重大的挑战。
按照新出台的《汽车产业发展政策》、《汽车贸易政策》和《二手车流通管理办法》等文件的精神,二手车评估实行自愿原则,即买卖双方可以自己定价。因此,现存的二手车鉴定评估机构,必须及早转变观念,树立服务意识,深层次挖掘鉴定评估的内涵,弱化估价过程,强化鉴定与评估,变单一收费为拓宽服务范围、延伸服务层次。从一定意义上讲,实现观念与经营模式的转变是现存二手车鉴定评估机构能否生存和发展的关键。
二手车利润率呈下降趋势,经销商面临新的经营风险。
受汽车市场整个价格水平持续下降的影响,汽车行业整体上结束了高利润时代。在二手车市场,新车价格的节节走低不仅制约了二手车价格的上扬,同时,新车价格尤其是轿车价格频繁波动,客观上也加大了二手车经销商的经营风险。为了降低经营风险,二手车经销商不得不减少车辆库存,这在一定程度上抑制了二手车交易的活跃程度,也直接导致了经营者的收益水平出现整体下降。随着《二手车流通管理办法》的实施,将会有更多的经销企业、拍卖企业等经营主体加入到二手车经营的行业中,同业竞争会更加激烈,行业平均利润继续下降仍将不可避免。
二手车鉴定评估缺乏统一的标准。
到目前为止,全国还没有统一的二手车鉴定评估标准及全国性的政策法规。很多二手车交易市场的鉴定评估机构采用简单的平均年限折旧法进行价值的评估,评估结果缺乏科学依据,也与现实的市场行情相背离,难以为公平的市场交易提供价值尺度。
沈进军说,当单一的交易模式已经不再适应千变万化的市场时,市场就会孕育出新的交易模式,自然产生出新生力量。汽车供应商及经销企业全面介入二手车市场,恰恰顺应了市场发展的客观规律。他们的进入,给二手车市场带来了规模化、专业化的服务保障体系,在行业中树立起了二手车经营的品牌理念和诚信机制。《二手车流通管理办法》等一系列重大的改革方案和政策法规相继在今年正式颁布实施,其主要目的是在于形成多种经营主体与经营模式并存的二手车流通发展格局,完善、健全二手车销售的售后服务体系。
沈进军还提醒广大二手车经销商要用发展的眼光审视二手车市场的发展前景。他说,二手车市场高峰的到来离不开汽车社会保有量的积累;当前,新车大规模进入家庭的时代还没有全面到来,因此至少还需要几年的时间才会出现换车高峰;另外,只有等到国内车价与国际市场相近或基本持平后,二手车的经营风险才会降低,二手车市场才能进入爆发期。对此,二手车经销商应该有充分的准备

易车和中国汽车流通行业协会共同发起的征文活动已启动。发布与主题一致且符合内容方向的图文和视频,均有机会获得位置推荐和京东卡激励。


活动时间:2022年10月31日—2022年11月14日


评选时间:2022年11月15日-2022年11月21日


公告时间:2022年11月25日


一、【内容要求】:


1、视频方面:


1)参与投稿的视频需为原创,画质清晰;


2)视频横竖屏皆可,且内容主题与活动征集方向一致;


3)视频经平台审核通过,不带任何商业推广信息。


2、图文方面:


1)曾参投稿的内容需为原创,字数不少于1500字。图片清晰完整且配图并不少于10张;配图包含车辆正面照,车头45度照,正侧面照、车尾照,图片均使用易车车型库配图。


2)内容经平台审核通过,不带任何商业推广信息;


3)内容需为原创,发布非原创内容将取消活动资格;


4)发布的内容必须与话题吻合。


二、【选题方向】


选题方向一:
二手车选择:你会考虑选择二手车吗?二手车选择有哪些注意事项?怎样避坑泡水车、火烧车、事故车?
二手车检测:二手车检测有哪些项目要注意?二手车检测有哪些权威机构?
二手车市场:分析目前二手车市场现状,浅谈二手车发展历程,展望二手车发展趋势;
二手车机遇:二手车电商、直播;二手车政策红利;二手车出口;


选题方向二:
新能源二手车:新能源二手车相对传统二手车有什么特点?怎样选择新能源二手车?
动力电池回收利用:动力电池回收发展现状,行业前景?消费者如何参与?
动力电池检测:动力电池有哪些检测项目?日常怎样保养电池?
选题方向三:


政策刺激:600亿补贴、新能源购置税免征至2023年底等
选题方向四:


新零售:新能源汽车零售、二手车零售、电商零售、零售物流等


选题方向五:


后市场:车膜、车衣、车饰、汽车用品、其它等


一、【内容要求】:


1、视频方面:


1)参与投稿的视频需为原创,画质清晰;


2)视频横竖屏皆可,且内容主题与活动征集方向一致;


3)视频经平台审核通过,不带任何商业推广信息。


2、图文方面:


1)曾参投稿的内容需为原创,字数不少于1500字。图片清晰完整且配图并不少于10张;配图包含车辆正面照,车头45度照,正侧面照、车尾照,图片均使用易车车型库配图。


2)内容经平台审核通过,不带任何商业推广信息;


3)内容需为原创,发布非原创内容将取消活动资格;


4)发布的内容必须与话题吻合。


二、【选题方向】


选题方向一:
二手车选择:你会考虑选择二手车吗?二手车选择有哪些注意事项?怎样避坑泡水车、火烧车、事故车?
二手车检测:二手车检测有哪些项目要注意?二手车检测有哪些权威机构?
二手车市场:分析目前二手车市场现状,浅谈二手车发展历程,展望二手车发展趋势;
二手车机遇:二手车电商、直播;二手车政策红利;二手车出口;


选题方向二:
新能源二手车:新能源二手车相对传统二手车有什么特点?怎样选择新能源二手车?
动力电池回收利用:动力电池回收发展现状,行业前景?消费者如何参与?
动力电池检测:动力电池有哪些检测项目?日常怎样保养电池?
选题方向三:政策刺激:600亿补贴、新能源购置税免征至2023年底等。
选题方向四:新零售:新能源汽车零售、二手车零售、电商零售、零售物流等。


选题方向五:后市场:车膜、车衣、车饰、汽车用品、其它等。


【参与方式】:上传内容必须勾选#中国汽车流通行业年会征文活动#话题


四、【活动奖励】


1:资源位推荐? ? ??


2:京东卡激励


五、【评奖规则】与任务主题必须一致,即符合任务参与要求。平台会依据最终产出内容消费表现优质的作者给予现金激励。


六、【奖项公布】获奖账号将会以榜单的形式,在易车号公告公布,并由官方运营人员通知获奖作者。

本届年会对于二手车市场特别重视。11月10日与11日分别进行了《二手车电商经销商主题论坛》、《二手车及有形市场发展论坛》与《二手车行业发展主题论坛》。年会期间,二手车领域分论坛的热度也正说明了这个行业前景以及发展趋势。

如何把握这些问题?或许是决定未来中国 汽车 产业变革结果的关键因素,为此, 懂车帝推出特别策划栏目“请回答2021”,此篇为系列内容第1期:谁在“杀死”4S店?

从80年代之前的物资公司,80年代中后期的运销处、机电公司,再到如今随处可见的 汽车 4S店,中国 汽车 经销集团从计划经济中一步步走出来,步履蹒跚地拥抱着市场经济。

中国 汽车 流通行业,随着中国经济的变革与发展,始终坚韧地生存着。这个行业在市场经济的推动下,有着顽强的向上自驱力,有过低谷、也曾站上山巅。在2020年,这个行业再一次站在了挑战面前。

谁在“杀死”4S店?

这是一个非常敏感且很难剥离出来单独谈论的问题,但当业界已经开始大规模的讨论起这样一个问题时,每个人都意识到了事情的严重性。与此同时,这个问题似乎也确实到了不得不被认真看待的时候。

12月10日,中国 汽车 流通协会发布了2020年11月份“ 汽车 经销商库存”调查结果,数据并不乐观。

11月份 汽车 经销商综合库存系数为1.72,同比大幅上升15.4%,环比上升9.6%,库存水平位于警戒线以上。

库存的增加,直接导致了经销商盈利能力的下降,对此,流通协会方面表示:临近年关补库以及销售考核目标,新车上市以及各类促销活动,经销商盈利能力不足,出现了新车价格倒挂的情况。

“压库”作为 汽车 销售渠道层面的一个老生常谈的问题,实际上从来也没有消除过 ,这个问题始终横亘在主机厂与经销商之间,就像一把双刃剑,来回拉扯永不停息。

在2020年,这把双刃剑的问题更为突出,他一方面考验着固有厂商之间的 健康 度,另一方面又在公众面前被当做一个人文主义关怀的评判标尺。

中国 汽车 流通协会会长沈进军在年中的时候甚至发表了这样的言论:“ 主机厂仍延续着‘按计划生产’的模式,造成了大量库存堆积,让经销商喘不过气 。”

与“压库”问题相比,经销商在2020年面临着一个更加重要的问题: 价格倒挂下,经销商的利润构成在变化。

今年8月3日,中国 汽车 流通协会副秘书长郎学红发布了《2020上半年全国 汽车 经销商生存调查报告》,显示在上半年,有超过3成的经销商销量同比下降30%以上,经销商新车收入平均为0.76亿元;平均毛利率负值凸显,为-3.5%,出现“销售即亏损”的情况加剧。

价格倒挂的情况从2019年的79.9%扩增至83.7%,其中,合资品牌出现价格倒挂的情况较为严重。在这样的情况下,上半年 汽车 经销商的亏损比例高涨到48.8%,将近一半。

从结构来看,从2018-2020上半年期间,中国 汽车 经销的利润构成中,新车销售业务的占比变化非常明显:2018年为34.2%;2019年下降到3.4%;2020上半年,已经几乎降为负数。

金融服务、售后服务逐渐成为经销商利润的增长点,但这样的增长点是否具有长期性?

虽然涉事的两家4S店都进行了整改,但其实从这件事情背后,也能够从一个侧面看出,目前4S店的利润正在逐步被挤压。

目前全年的经销商生存情况仍未出炉,然而从年末的又一轮“压库潮”来看,摆在经销商面前的处境并不轻松。

不夸张的说,2020年,一个个亏损经营的4S店所反映出的问题,已经触及到整个 汽车 流通产业的生存状态。

事实上,虽然这一问题看似“严禁讨论”,但在2020年,它谨慎的、小心翼翼的在被摸索着,俨然已经成为一个全行业都试图去寻找到的答案。

在今年北京车展上,沃尔沃品牌的第一辆纯电动车XC40 RECHARGE就宣布进行官方直售。

沃尔沃 汽车 大中华区销售公司新业务发展部高级总监李敬业就这个问题与懂车帝进行了深入沟通,在他看来,直售模式能够促进经销商持续提升服务能力,“我们希望通过这个直售,能够有一个统一的服务流程和统一的标准,同时也是公开透明的售价,客户省去很多不必要的时间。”

而在前一阵子刚刚上市的大热车型WEY坦克300,也宣布采用APP下单的方式,所有消费者预订都是以APP作为唯一入口,长城 汽车 高级副总裁、WEY品牌CMO李瑞峰直言,这能保证了消费者在全国任何一家经销商购买到的坦克300,价格和享受到的权益都是一致的。

除此以外,别克也在2020年启动了电动车直销的新模式。2021年,还会有更多的传统车企加入到这样的尝试中,这会是撕开传统 汽车 销售渠道长久以来紧密防线的一个口子吗?

长久以来,由于立场不同、经营模式不同,主机厂与经销商之间的关系十分微妙,既互相依赖,又互相对立。

然而, 主机厂会去主动“杀死”4S店吗?可能性并不大

首先,对于主机厂来说,如果摆脱掉现有的销售渠道以及4S店,除了在终端触达层面会出现问题以外,还意味着主动放弃了经营多年的渠道建设,以及长期以来的经营模式。其次,如果没有4S店,主机厂必须自建销售渠道、自承风险,况且还需要增加运营成本。

主机厂想要对渠道进行肃清,除了明面上的这些弊端以外,还有很多在暗处难以言说的复杂利益纠葛在拉扯,阻力不是一般的大。

大部分主机厂还是非常谨慎的。

在今年广州车展期间,广汽菲克副总经理杜德康在访谈间与懂车帝进行沟通,专门询问了懂车帝对于线上、直营渠道的一系列看法,也非常认同直营渠道在服务、价格等维度的优势。

然而但当懂车帝问其是否有类似建设直营店的考虑时,则是得到了否定的答复。但与此同时杜康德也表示:“以我在 汽车 行业从业这么多年的经历来看,经销商现在都在实体店里面提供售后服务、零部件, 未来在这种新模式下,经销商可以考虑在市中心做一个城市展厅的模式。

有理由相信,2020年的中国 汽车 产业内,正在进行着一场 汽车 销售渠道变革的大讨论。而与很多问题类似,业界内基本上出现了对立的两种声音, 一种认为4S店已经是过去的产物,积重难返 另一种则认为,4S店承载着太多一以贯之的消费习惯与产业模式,很难被取代

事实上,中国 汽车 流通行业发展至今,固有的经销模式,已经持续了将近20年。在这20年间,中国 汽车 产业由弱变强、中国互联网行业跃至世界潮流前列、移动互联网基本处于领跑地位。产业上游在变化,用户需求、消费场景、服务理念也在变化,而这些变化都在冲击着旧有的 汽车 销售模式。

如果把中国 汽车 流通行业的发展比作长河中的一条船,在经历了20年的宽广水面以后,这条船再次进入了蜿蜒的河谷之中,而“杀死”4S店的“凶手”,或许只是埋藏在时代洪流中的一个个险滩。

我个人以为,渠道在未来可预期的一段相当长的时期内不会消亡。我依旧坚信,渠道为王!

广州车展前夕,中国 汽车 流通协会会长沈进军在2020中国 汽车 流通行业年会中,慷慨激昂的为经销商及二手车商们打气。

沈进军的这番言论自有他的一套思想闭环:“渠道的核心是服务,而最终判断渠道是否具有竞争力,就在于渠道的效率和消费者的体验和满意度。”

贵为流通协会的会长,沈进军在为经销商发声的同时,自然也不会看不到行业内的问题,而自我重塑则是他为经销商提出的改革明灯,在沈进军看来,“如何为消费者做好服务?这是未来10年最重要的一点。”

4S店的服务提升,可以体现在很多方面, 首先是数字化营销

如今,中国 汽车 流通市场已经进入到快速震荡的整合期,经销商集团需要通过业务模式的创新和新技术的应用,更好地应对变革带来的挑战。移动互联网的崛起,云计算、人工智能、数字化等新技术逐渐在 汽车 流通行业渗透,是机遇同样是挑战。如何构建高价值的数字创新体系是当下 汽车 经销商集团需要思考的问题。

其次,售后服务的质量与透明度同样非常重要

一些声音认为,多年以来的行业惯性,让4S店很难去切实的进行变革,而一些车企的态度则会温和的多,比如通用 汽车 全球执行副总裁兼通用 汽车 中国公司总裁柏历(Julian Blissett),这位“中国通”高管就曾对懂车帝表示,虽然很多交易可以在线上进行,但终端服务还需要围绕消费者个人展开,终端服务也始终需要经销商在线下提供,可以肯定的是直销和经销这两种模式会长期共存。

显然,目前 汽车 经销商正处于一个非常关键的分岔路口,在新能源 汽车 直营模式大行其道的当下,未来4S店有可能会根据自身的不同情形,被动的分出几种不同的经营模式。但无论如何,如果想要保持竞争力,则势必要经历一段艰难的自我革新,否则或许就会成为被取代的那一个。

4S店是否会被“杀死”?这个纵深极长、波及范围极广的问题不应该由某一个人来回答,无论未来 汽车 流通渠道发展成什么样,都应该是行业、市场、用户共同选择出来的结果。

如果现在综合来分析这一问题,实际上造成传统4S店步履维艰的原因,并不是能够归结于某一方的单方面问题,而这一问题的答案,显然也不会只有一个。

正如文章开头所说,中国 汽车 流通行业在经历了几十年的变迁之后仍然存在,足以说明这一行业是被市场深度认可的,它的生命力无比顽强,只不过如今又站在了选择门前。

有人说2021年的中国经济将是最难一年,但从目前几大协会的预测数据来看,中国 汽车 市场的表现还是非常值得期待,这会是行业的转折点吗?不妨共同等待2021的回答。


相关tag:2020 新疆二手车流通行业年会
本站部分资源来源于网络,如果侵犯了您的权益,请联系我们删除1354090129@qq.com

谁东这车的型号向这种越野在国内上牌容易不

谁东这车的型号向这种越野在国内上牌容易不

  • 谁东这车的型号向这种越野在国内上牌容易不
  • 悍马H2、H3节能的问题
  • 悍马H2皮卡现已到店 售价96万元
  • 经历十个月,他制造出了全球唯一的六轮悍马

概念的悍马(黑炭) ,悍马H2改装的,算是属于美式大脚悍马H2。
我们习惯把改装成巨大轮胎的皮卡或者货车都叫做“大脚”,英文中的Bigfoot Car,还有的说成Monster Truck。一般改装成大脚的车基本都为皮卡,越野车等等,因为这些车型的轮眉和车身构造便于安装硕大的避震器和轮胎,改装潜力大。 大脚改装车在美国已经风靡很多年,而在国内属于是小荷才露尖尖角。

中国法规上不允许对汽车进行改装,包括外观改装也是不允许的。实际当中各地区的尺度不一样。一般来说不改变原厂的外观的颜色,长,宽,高这四个硬指标,就能通过。如需变更颜色要申报审批,一般的装饰之类没问题。车身贴花不能超过全车面积的30%。。轮胎,进排气,车架结构不能改。
如果你改装的超出了我给您的提示,那您是绝对不可能上牌照的。

改装车上牌照正常有两种方式:
1. 正常手续,正常交费: 要把车回复成原样。
2. 正常手续,多交一些费用:挂一个俱乐部或有专门的汽车服务的店,每年交一些费用或年检时再交。

还有就是你有钱有关系也可以上牌的。希望可以帮助到您,望采纳!

悍马H2和H3其实并不耗油。和奔驰G55 AMG的油耗比起来,H2已经很省油了。
你可以去搜索一下,J.D Power的油耗调查报告,最耗油的前十名里面从来就没有HUMMER的车型。前十名里面只有两款SUV其余全部是跑车:大切诺基SRT8和奔驰G55 AMG并列第八。
和美国的大型皮卡,比如福特F150、道奇RAM等比起来,H2也算省油的。H2的油耗等级属于卡车级别,和轿车比是不公平的。
悍马仅仅只是因为排量大而耗油多。H2接近3吨的车身,要保证动力性就必须使用大排量的发动机。
由于H2的发动机仍然采用两气门和SOHC缸盖再加上没有涡轮增压。所以发动机功率偏低。
其实现在各大汽车厂的发动机技术差别并不大。可以说,功率低的发动机油耗肯定不会高。做得少吃的也不会多。
补充一句,本人就是悍马迷。
要悍马省油其实很简单:把汽油机换成柴油机。

在人们的印象中,悍马汽车是那种大型的SUV车型,而今天易车网了解到,基于H2 SUV的H2皮卡也来到哈尔滨,并开始销售,这款车的售价为96万元。独具一致的悍马风格,让这辆H2皮卡更具家族特点,因此具有夸张的接近角以及离去角,方正的车身创造出充足的内部空间;宽大的挡风玻璃和垂直的侧窗为驾乘者提供了良好的视野;前后保险杠上的圆环用于连接拖车用的绞盘,便于车辆从泥潭中脱身。H2皮卡的用途十分广泛,它可以轻松地容纳5人乘坐,或运载货物。若把后排座椅放倒,空间会更大。一辆霸气越野车的必备素质,当然是其强劲的体魄以及动力输出性。 H2皮卡配备6.2L发动机,强大的动力更好的满足越野乐趣。

六个车轮、甚至更多车轮的悍马,其实并不是新闻。但今天要看到的这台车却是世界唯一一台SUVT——你没有看错,不是常见的SUV版本,也不是皮卡版本SUT,而是SUVT。

一台标准的悍马H2,我们已经再熟悉不过。

悍马H2的皮卡版本SUT。

本文主角。全球唯一的SUVT。

它是六轮,却不是六驱,然后还有六个座位。重要的是这台全由车主自己打造的车,拥有合法上路权。

这是一台2006年出厂的悍马H2。它的平淡生活在2017年,在伦敦车主Niall的手下发生了质的变化。

Niall将一台H2的底盘与SUT的底盘结合,制造出一个三轴底盘;并且花了四个月的时间制造出了H2车身与SUT车身的结合体。

现在这台悍马H6(一些媒体为其取的名字)或叫悍马SUVT,全长6米,高2米,重3.5吨。

不过如果您在好奇它怎么解决驱动问题的话,怕是就要失望了。从下图可以看出:

SUVT的第三个车桥的桥壳只有一个空空的传动轴接口,并未连驱动系统。所以应该说,这是一台6X4车型。

尽管如此,这台车上的每一个零件都来自悍马原厂,并未使用副厂件或自造件。为了达到这一目的,车主从英国本土、日本、美国、立陶宛找来了各种拆车件,拼成了现在的这台车。100%原厂件,最大限度保持了这台车的纯正。

不过在原厂没有的部件方面,Niall也发挥了自己的创造性。比如电动踏板,就改装自路虎。

完工之后,Niall做的事情是将这台车送到交通部门检测,以获得合法上路的资格。所以,这台2006年出厂的悍马,摇身一变成为了2017年的车型,车龄少了十多年。

Niall每天开着SUVT出门,参加各种汽车聚会、相关节目录制、租/借给别人作为礼宾用车,或是就仅仅作为自己的代步工具。

SPECS

6.0L?Vortec?V8引擎

80L油箱

22寸Bazo?B8轮圈

37寸外径越野轮胎

车内6座+4个LCD屏幕

全套Bose音响配定制低音炮

115分贝汽笛喇叭

全车LED车灯

电动脚踏板

谁中的雪佛莱新赛欧的优缺点 求!!!

谁中的雪佛莱新赛欧的优缺点 求!!!

  • 新赛欧和旧赛欧仪表盘有啥区别
  • 谁中的雪佛莱新赛欧的优缺点 求!!!
  • 别克赛欧是什么自动变速箱
  • 赛欧2是前驱还是后驱
  • 08款赛欧配置
  • 赛欧2型手自一体挂不了N档,能挂D档和R档,手动档M1也能挂,是怎么回事
  • 关于赛欧AMT的疑问
  • 04年别克整备质量1130千克是什么车
  • 赛欧2在通电不打火状态下开大灯会亮,原来打着车后开大灯才亮,怎么回事
  • 赛欧2小灯怎么换

新赛欧和旧赛欧仪表盘区别如下:
1、外观方面,全新赛欧前进气格栅将采用单横幅的模式,进气口边缘的角度与现款赛欧的盾牌型进气口比较相似。在细节上,新车的大灯与现款车并不相同,而是采用与雪佛兰新爱唯欧类似的造型设计。而车尾部分,全新赛欧在尾灯造型与保险杠处均经过了全新设计,显得更加动感。
2、内饰方面,此次改款的全新赛欧也发生了很大变化,仪表盘的设计由原来的单一大表盘换装了主流的双圆仪表样式。中控台的造型也变得更加整体化,整体做工也进行了不小的提升。作为一款入门家轿还是十分有吸引力的。
3、动力方面,老款赛欧两厢车型与三厢车型一样,搭载1.2L和1.4L两款排量的发动机。全新赛欧将搭载1.5升自然吸气发动机,该发动机最大功率为109马力。同时新车还有望提供搭载1.3L发动机的车型,该发动机最大功率为99马力。
4、传动方面,全新赛欧匹配的是5速手动变速器。

赛欧优点:空间感良好 赛欧缺点:做工粗糙,油耗较高厂家指导价:5.68-7.58万元介绍:品牌的回归 随着上海通用汽车在中国进行雪佛兰品牌的全面推广,原来上海通用被归于别克品牌下的赛欧轿车,终于回归到雪佛兰的品牌之下。
赛欧的原型车是在巴西生产的三厢欧宝可赛,是一种成熟车型,曾经荣获80多个奖项。赛欧采用的底盘为欧宝可赛1994年第二代技术,三厢可赛作为二代车仅在巴西生产,冠名为“雪佛兰可赛”。2000年上海通用推出赛欧之时,上海通用的别克轿车卖得正火,同时别克品牌也成功地建立起高档车品牌形象。在此情形下,特别擅长市场运作的上海通用汽车借别克品牌,推出赛欧也是很自然的事情。在车价高高在上的2000年,号称10万元“小别克”的别克赛欧一推出,就成为市场的大赢家。
到2005年的今天,上海通用开始细分产品品牌,雪佛兰品牌作为“大众化的值得信赖的国际汽车品牌”而被推出。别克赛欧也已完成了自己的使命。此时,赛欧也就重归雪佛兰品牌,而且大众需要的也是有新的变化。新赛欧换型之外的换标,更是让这旧瓶装新酒装出了新意。归于雪佛兰品牌之下的雪佛兰新赛欧,和原来的别克赛欧一样,分成三厢赛欧和两厢赛欧srv两大类,共六款车型。外观新赛欧换了新的前脸,包括新的大灯和雾灯、新的通风格栅、新的前保险杠,当然还有新的金色雪佛兰logo车标,给人焕然一新的感觉。虽说仍叫赛欧,棱角分明的两盏前大灯整整比老款大了一号,顿时让新赛欧的头部有了新意,而且显得很厚实;流线形的车身造型延续了老赛欧的品牌特征,srv车顶的行李架和尾部的高位刹车灯都被保留了下来,所有导流线条被强化得很鲜明,增加了整车的运动感;雪佛兰新赛欧的车尾也有了新的变化,新的后组合灯和新的后保险杠,看起来显得更活泼。不过,还是让人一眼就能认出是赛欧一族的成员。雪佛兰新赛欧的车身尺寸也稍有改动。雪佛兰新赛欧s-rv车长为4097mm,比原来的别克赛欧s-rv长了71mm,而车宽依然为1608mm,车高依然为1448mm,轴距不变,仍为2443mm。内饰内饰的格局虽然没有发生大变化,但都已换上了更加青春和时尚的外衣。金属质感的中控台面板更加符合年轻人的审美;收音机被放置在了中控台的最底部,距离驾驶员很近;新增的诸多储物空间最大程度地利用了车内现有空间,极大限度地解决了车内杂物乱放的问题。坐入驾驶席,虽然可调节的设施不多,但常用功能总算一应俱全,座椅可6向调节,方向盘高低可调,排挡杆的手感依旧很单簿。不过,新赛欧配备的是上海通用改进后的变速器,。空调温度和风量调节按钮操作轻便、顺手;银色的中控台和黑色的仪表盘在夜间行驶时会发出橘黄色的灯光,司机随时都能良好读取行车数据。新增加了车载液晶电子钟,同时提供了车外温度显示,;新增加了一前排两用杯架,除了可以放饮料杯外,还可放随车配备的杯形烟灰缸。整体内饰的处理比起老款赛欧玩具般的做工来说,质量已有不少提升。雪佛兰新赛欧的后排座椅靠背可以完全向前放倒,形成一超大的行李箱。此座椅靠背也可向后放倒。后排座椅的灵活变动性,提高了车辆的实用性能。此外,其行李箱内有隐蔽式双置物盒,可以将一些零散物件收放其中,很实用。378l-1190l可自由变换的行李厢空间,放进一台29英寸的电视机没有问题。动力动力方面无需多说,还是那台1.6升的发动机,表现平平,没有变化,无论怎么调校毕竟“年事已高”。想提升每一分动力都像在榨干引擎的所有潜能。这款发动机属于直列四缸,单顶置凸轮轴,每缸两气门多点电喷发动机。其最大功率为66kw,最大扭矩为128n.m。总的来说,这款发动机已经“不年轻”了,比起同排量的福美来1.6的71kw、140n.m;polo1.6的74kw、145n.m;凯越1.6的78kw、142n.m;宝来1.6的78kw、150n.m都要明显小一些。不过作为一款经济型休闲旅行轿车,这款发动机也可以满足要求了。怠速时低频的震动依旧明显,方向盘随着引擎的工作同步抖动,挂入前进挡后加油起步,车顿了一下缓缓前移。加油上路后,随着速度的攀升,四前速的自动变速箱顺序换挡,80公里时速时已换上四挡,而此时的引擎转速只有2200转左右,发动机和变速箱配合得还算默契,换挡时的冲击感也不算很明显。掀起雪佛兰新赛欧s-rv的发动机舱罩,没有发现和别克赛欧s-rv的发动机舱有什么不同,整个机舱都挤得满满的,整体布局不算特别有条理。原来的老毛病——行车后发动机舱撑杆会被烤热———现在依然存在。 蓄电池的位置安排在很特别的地方,在前挡风玻璃水槽的下方,空间较小,很难自行更换更大容量的蓄电池,同时维护更换电池也不方便。其他需要进行日常维护的地方就没有什么不就手的地方了。操控赛欧小巧的身材配那四条185的宽胎有些不谐调。不过,这套轮胎挪到两厢的srv身上状况就完全不同了,除了令操控稳健了许多外,也令两厢赛欧的外表“茁壮”了不少。在弯道测试时,新赛欧srv的抓地性良好,车内乘员并没有被甩来甩去的感觉;加强型的后桥和麦弗逊式的后悬挂调校得不错,车辆在弯道的循迹性令人满意,不过转向时方向盘回位较慢,而且路感也不够清晰。在以30km/h时速通过坑洼的路面时,悬架的弹跳响应显然有些过于敏感,可能是离地间隙较高和避震弹簧偏软造成的。底盘系统基本没有变化,车身刚性较好,其悬挂系统类为前麦弗逊式独立悬架、后加强型后车桥+拖曳臂式后独立悬架,配置了185/60r14宽胎。避震器及阻尼采用偏软设定,也因为如此,在高速变线时,有些发飘的感觉。倒车视野好、停车入位方便、超车并线灵巧……这些都是三厢车无法比拟的,新赛欧srv理所当然地拥有这些优势。制动性能 和04款别克赛欧相比,雪佛兰赛欧的制动系统没有什么不同,其制动系统同样是前碟后鼓,配备带ebd的abs系统。测试的路面十分湿滑,但其制动系统表现稳定,刹车响应灵敏,但abs系统的工作稍显粗糙,紧急制动时点头现象比较明显。 不可避免的问题1: 新赛欧的原形是欧宝公司的corsa,在国内对外观进行了重新设计以扩大车内空间。但corsa毕竟是停产多年的车型,技术上和设计理念上落后太多。2:赛欧车的发动机动力特性很特殊,他追求低车速时的扭矩特性,加速性能出色,适合在城市等交通拥挤的路段行使,这一点在国内1.6l排量车型中独树一帜,但同时也造就了他中高车速时加速能力较差。3:因为许多系统都是拿来主义,例如动力系统和电控系统,从而导致其故障频率较高,而且核心系统的(特别是引擎)配件价格偏高。

2004款别克赛欧1.6L车型搭载的是日本爱信公司生产的4挡自动变速箱,型号:AW60-41SN/AF17

赛欧2是前驱车型,是没有后驱的,这类普通家用轿车都是发动机前置前驱的两驱设计了。

08款赛欧配置很好。根据查询相关信息显示。
1、08款赛欧配置前排双安全气囊、助力转向。
2、08款赛欧配置大口径轮圈、选装自动变速箱、标准配置的ABS、五座安全带、安全碰撞吸能区和安全碰撞吸能转向柱。

这个手动的然后挂不了恩的一般有可能就是它里面的互锁机构发生了故障,导致单位无法进行计划。

求问老赛欧AMT车主:1、赛欧AMT可靠性和耐久性怎么样?2、听说坡起要配合手刹,求问驾驶技术很低的女司机是否能搞定?3、AMT车型小毛病多吗?变速箱是否省心?谢谢啦求问老 赛欧 ( 查成交价 | 车型详解 )AMT车主:1、赛欧AMT可靠性和耐久性怎么样?2、听说坡起要配合手刹,求问驾驶技术很低的女司机是否能搞定?3、AMT车型小毛病多吗?变速箱是否省心?谢谢啦 1.赛欧3的AMT的故障比手动挡好像还少,最近论坛里,手动挡车型的问题闹得可大了;2.赛欧3自带斜坡起步辅助系统,只有坡度大于5°,就会启动,所以坡起可以放心。当然也可以使用手刹配合,用手刹起步是,辅助系统不启动;3.amt目前并没有爆发什么毛病,爆发毛病的是新赛欧(赛欧2),赛欧3的AMT已经改进过了。目前我认为还是比较省心的,但不舒适。给你点建议,如非必要,还是买AT的车型吧,AMT驾驶时有很多技巧,你不容易掌握。 今年4月入手10款12年出厂两厢AMT1.2赛欧,里程6万1,到17年7月止,全程4s保养合成成机油,只有换尾灯丝的小故障!到手后去4s点做AMT线束召回(其实没故障)到现在里程7万1,俺手上换过机油机滤100,汽滤15,防冻液55,刹车油30,变速箱油60,换蜗牛喇叭25。工钱约130块!总计415块。自己用5块一罐的化清剂清洗过进气道,节气门。市内开空调不堵车油耗大约7.2升,高速不开空调大约6.5升。长期门前便宜5毛的民营加油站。结合手动自动模式切换使用,动力够用!山区国道县道3档40码,还行。喷动力差的,你会使用油门嘛! 如果预算够就不要考虑赛欧啦,AMT变速箱在车速慢的情况下会顿挫强烈,也跟排量有关,1.3的动力太小,开空调顿得更严重,我的已经索赔了整个AMT系统,第一次是换挡执行器,第二次是离合控制器,算是运气不好,这两个过保修期自己出钱得7-8千 说AMT不好开的,大部分是没开过这车的,都是道听途说,加上自己想象的!开过一两次,不是AMT车主就没有发言权!从这帖子回复看,真实车主大部分还是很认可这车的!操作简单,易上手!不用踩离合,就是这么简单! AMT还是很好用的,变速箱硬连接,和手动原理基本一样,起步直接加油即可不用手刹(本来纯手动也不用手刹),坡道辅助功能会自动咬住刹车的,不会后溜的,当然要立即加油。低速挡顿挫这个不可避免,可以用S模式,或者手动模式,速度高一点再加档就会平顺很多的,降档不用管,会自动降的。这车跟纳瑞/K2比就没有意思了,那车在路上才能看到几辆?想便宜可以买国产品牌的,宝骏310更便宜,发动机也一样,也是amt。再添点,就不必买小型车了,科沃斯/科鲁兹都不贵,新凯越也可以选的上。但赛欧出来十几年了,一直卖的挺好,好开皮实省油,大毛病没有,小毛病也可以接受。 我是赛欧2。1.2的amt。13年买的,5年没有熄过一回火。没有任何故障。去年换过一次左前轮三角臂,老家路烂,颠簸多。现在便宜一万多。穷人车,还行,皮实,不坏,没有换车的理由。撞过几回别人的车,感觉车硬,老婆开撞烂了依维柯的右后角的大灯,赛欧只是掉了一点漆。都没有凹陷。动力不差,再开几年。如果手头不紧,建议1.6的at,cvt.会更好一些。 发动车后,先关掉启停,因为AMT带有陡坡辅助功能!这个功能前提是关掉自动启停按钮,才行!陡坡起步可以不用拉手刹!直接踩刹车,准备起步时,松开刹车,车子因陡坡辅助介入,会自己静止3秒钟,给你时间去踩油门!AMT很好开的! AMT的1-2档顿挫感很强烈,开习惯了就感觉还好,我的就是AMT,坡道起步的话,如果是大坡道就得配合手刹,小坡道就无所谓。至于女司机,我感觉能考出C1来,应付问题都不大。好的话就采纳一下,谢谢,打那么多字也挺累的 @2019

返回首页
凯越
搜索菜单
2004款 1.8 自动豪华版
综述报价配置团购
车型信息 注:● 标配 ○ 选配 - 无
厂商指导价(元)
13.98万
全国4S最低报价
-
90天车款人气
15993
基本参数 注:● 标配 ○ 选配 - 无
厂商
上汽通用别克
级别
紧凑型车
发动机
1.8L 120马力 L4
变速箱
4挡自动
长×宽×高(mm)
4515*1725*1445
车身结构
4门5座三厢车
最高车速(km/h)
175
官方0-100km/h加速(s)
13.7
实测0-100km/h加速(s)
-
实测100-0km/h制动(m)
-
网友油耗(L)
10.90
工信部综合油耗(L)
-
整车质保
两年或6万公里
保养费用
0.09元/公里
车身 注:● 标配 ○ 选配 - 无
长度(mm)
4515
宽度(mm)
1725
高度(mm)
1445
轴距(mm)
2600
前轮距(mm)
1475
后轮距(mm)
1476
最小离地间隙(mm)
125
整备质量(Kg)
1300
车身结构
三厢车
车门数(个)
4
座位数(个)
5
油箱容积(L)
60
行李厢容积(L)
405
发动机 注:● 标配 ○ 选配 - 无
发动机型号
T18SED
排量(L)
1.8
进气形式
自然吸气
气缸排列形式
L
气缸数(个)
4
每缸气门数(个)
4
压缩比
9.8
配气机构
DOHC
缸径
-
行程
-
最大马力(Ps)
120
最大功率(kW)
88
最大功率转速(rpm)
6000
最大扭矩(N·m)
158
最大扭矩转速(rpm)
4400
发动机特有技术
-
燃料形式
汽油
燃油标号
93号(京92号)
供油方式
多点电喷
缸盖材料

缸体材料

环保标准
欧IV
变速箱 注:● 标配 ○ 选配 - 无
简称
4挡自动
挡位个数
4
变速箱类型
自动变速箱(AT)
底盘转向 注:● 标配 ○ 选配 - 无
驱动方式
前置前驱
前悬架类型
麦弗逊式独立悬架
后悬架类型
双连杆独立悬架
助力类型
机械液压助力
车体结构
承载式
车轮制动 注:● 标配 ○ 选配 - 无
前制动器类型
通风盘式
后制动器类型
盘式
驻车制动类型
手刹
前轮胎规格
195/55 R15
后轮胎规格
195/55 R15
备胎规格
全尺寸
安全装备 注:● 标配 ○ 选配 - 无
主/副驾驶座安全气囊
主:- 副:-
前/后排侧气囊
前:- 后:-
前/后排头部气囊(气帘)
前:- 后:-
膝部气囊
-
胎压监测装置
-
零胎压继续行驶
-
安全带未系提示
-
ISOFIX儿童座椅接口
-
发动机电子防盗
-
车内中控锁
-
遥控钥匙

无钥匙启动系统
-
无钥匙进入系统
-
操控配置 注:● 标配 ○ 选配 - 无
ABS防抱死
-
制动力分配(EBD/CBC等)
-
刹车辅助(EBA/BAS/BA等)
-
牵引力控制(ASR/TCS/TRC等)
-
车身稳定控制(ESC/ESP/DSC等)
-
自动驻车/上坡辅助
-
自动驻车
-
上坡辅助
-
陡坡缓降
-
可变悬架
-
空气悬架
-
可变转向比
-
前桥限滑差速器/差速锁
-
中央差速器锁止功能
-
后桥限滑差速器/差速锁
-
外部配置 注:● 标配 ○ 选配 - 无
电动天窗
-
全景天窗
-
运动外观套件
-
铝合金轮毂

电动吸合门
-
电动后备厢
-
侧滑门
-
感应后备厢
-
车顶行李架
-
内部配置 注:● 标配 ○ 选配 - 无
真皮方向盘

方向盘调节
-
方向盘电动调节
-
多功能方向盘
-
方向盘换挡
-
方向盘加热
-
方向盘记忆
-
全液晶仪表盘
-
定速巡航
-
前/后驻车雷达
-
倒车视频影像
-
行车电脑显示屏
-
HUD抬头数字显示
-
座椅配置 注:● 标配 ○ 选配 - 无
真皮/仿皮座椅
真皮
运动风格座椅
-
座椅高低调节

腰部支撑调节

肩部支撑调节
-
主/副驾驶座电动调节
-
第二排靠背角度调节
-
第二排座椅移动
-
后排座椅电动调节
-
电动座椅记忆
-
前/后排座椅加热
前:- 后:-
前/后排座椅按摩
-
前/后排座椅通风
-
后排座椅放倒方式
-
第三排座椅
-
前/后中央扶手
前:- 后:-
后排杯架
-
多媒体配置 注:● 标配 ○ 选配 - 无
GPS导航系统
-
定位互动服务
-
中控台彩色大屏
-
人机交互系统
-
内置硬盘
-
蓝牙/车载电话
-
车载电视
-
后排液晶屏
-
外接音源接口(AUX/USB/iPod等)
-
CD支持MP3/WMA
-
多媒体系统
单碟CD
扬声器数量
-
灯光配置 注:● 标配 ○ 选配 - 无
近光灯
-
远光灯
-
日间行车灯
-
自动头灯
-
转向辅助灯
-
转向头灯
-
自适应远近光
-
转向头灯(辅助灯)
-
前雾灯
-
大灯高度可调
-
大灯清洗装置
-
车内氛围灯
-
玻璃/后视镜 注:● 标配 ○ 选配 - 无
前/后电动车窗
前:- 后:-
车窗防夹手功能
-
防紫外线/隔热玻璃
-
后视镜电动调节
-
后视镜加热
-
内/外后视镜自动防眩目
-
后视镜电动折叠
-
后视镜记忆
-
后风挡遮阳帘
-
后排侧遮阳帘
-
后排侧隐私玻璃
-
遮阳板化妆镜
-
后雨刷
-
感应雨刷
-
空调/冰箱 注:● 标配 ○ 选配 - 无
空调控制方式
自动:●
后排独立空调
-
后座出风口
-
温度分区控制
-
车内空气调节/花粉过滤
-
车载冰箱
-
高科技配置 注:● 标配 ○ 选配 - 无
自动泊车入位
-
发动机启停技术
-
并线辅助
-
车道偏离预警系统
-
主动刹车/主动安全系统
-
夜视系统
-
中控液晶屏分屏显示
-
自适应巡航
-
全景摄像

您好,可能是检查镇流器吧。可能大灯镇流器供电不足,功率达不到,换个试试,望采纳谢谢

1、首先三个口的插头用小一字撬(别)松拔下来,拔下来后取下胶皮密封罩,按下钢丝卡子就可以取出灯泡了。
2、其次时间久了如果插头不好取下来的话先把胶皮密封置松脱(有插头卡着取不下来)。
3、然后伸手按下钢丝卡子取下灯泡拿出车外再用小一字取下插头即可。
4、最后安装时注意灯泡边上有三个小翅膀要入位再按下钢丝卡子。


相关tag:赛欧2
本站部分资源来源于网络,如果侵犯了您的权益,请联系我们删除1354090129@qq.com

标签:汽车   设计   电路   市场   控制

本文来自网络,不代表94汽车车网立场,所有(图文、音视频)均由用户自行上传分享,仅供网友学习交流,版权归原作者。若您的权利被侵害,请联系 56325386@qq.com 删除。转载请注明出处:https://94che.com/qc/97988.html

发表回复

您的电子邮箱地址不会被公开。

返回顶部